The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ASIC with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1988 (19) 1989 (17) 1990 (24) 1991 (21) 1992 (25) 1993 (16) 1994 (155) 1995 (48) 1996 (54) 1997 (45) 1998 (57) 1999 (50) 2000 (60) 2001 (56) 2002 (80) 2003 (101) 2004 (110) 2005 (138) 2006 (142) 2007 (122) 2008 (140) 2009 (88) 2010 (50) 2011 (330) 2012 (44) 2013 (308) 2014 (50) 2015 (395) 2016 (65) 2017 (343) 2018 (80) 2019 (313) 2020 (64) 2021 (291) 2022 (76) 2023 (305) 2024 (14)
Publication types (Num. hits)
article(599) book(5) incollection(5) inproceedings(3655) phdthesis(24) proceedings(8)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1444 occurrences of 813 keywords

Results
Found 4301 publication records. Showing 4296 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
21Jong-wan Seo, Myong-Chul Shin A study on an ASIC design technique for digital protective relays. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Yuan-Sun Chu, Po-Feng Lin, Jia-Huang Lin, Hui-Kai Su, Ming-Jen Chen ASIC design of fast IP-lookup for next generation IP router. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Sreeram Chandrasekar, Gaurav Kumar Varshney, V. Visvanathan A Comprehensive Methodology for Noise Characterization of ASIC Cell Libraries. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Shubhajit Roy Chowdhury, C. Pramanik, Hiranmay Saha ASIC Design of the Linearisation Circuit of a PTC Thermistor. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Chakka Siva Sai Prasanna, N. Sudha, V. Kamakoti 0001 A Principal Component Neural Network-Based Face Recognition System and Its ASIC Implementation. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Peter Zipf, Claude Stötzler, Manfred Glesner A Configurable Pipelined State Machine as a Hybrid ASIC and Configurable Architecture. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Kris Tiri, Ingrid Verbauwhede A Logic Level Design Methodology for a Secure DPA Resistant ASIC or FPGA Implementation. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Guido Bertoni, Marco Macchetti, Luca Negri, Pasqualina Fragneto Power-efficient ASIC synthesis of cryptographic sboxes. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF S-box implementation, low power logic, cryptography
21Siddika Berna Örs, Frank K. Gürkaynak, Elisabeth Oswald, Bart Preneel Power-Analysis Attack on an ASIC AES implementation. Search on Bibsonomy ITCC (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF AES, power analysis attack
21N. Sudha An ASIC Implementation of Kohonen's Map Based Color Image Compression. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Nitin Deo, Behrooz Zahiri, Ivo Bolsens, Jason Cong, Bhusan Gupta, Philip Lopresti, Christopher B. Reynolds, Chris Rowen, Ray Simar What happened to ASIC?: Go (recon)figure? Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Dongsheng Wang 0012, Peter Suaris, Nan-Chi Chou A Practical ASIC Methdology for Flexible Clock Tree Synthesis with Routing Blockages. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Emmanuel Zervakis, Dimitris Loukas, Nikos Haralabidis, Arximidis Pavlidis Development of a CMOS low-noise analog front-end ASIC for X-ray imaging applications. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Theo J. Powell, Wu-Tung Cheng, Joseph Rayhawk, Omer Samman, Paul Policke, Sherry Lai BIST for Deep Submicron ASIC Memories with High Performance Application. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Yoshihito Nishizaki, Osamu Nakayama, Chiaki Matsumoto, Yoshitaka Kimura, Toshimi Kobayashi, Hiroyuki Nakamura Testing DSM ASIC With Static, \DeltaIDDQ, And Dynamic Test Suite: Implementation And Results. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Fernando M. Gonçalves, Marcelino B. Santos, Isabel C. Teixeira, João Paulo Teixeira 0001 Design and Test of a Certifiable ASIC for a Safety-Critical Gas Burner Control System. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF fault simulation, safety-critical, self-checking
21Dae Woon Kang, Yong-Bin Kim Design flow of robust routed power distribution for low power ASIC. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Tony Han, Sri Parameswaran SWASAD: An ASIC Design for High Speed DNA Sequence Matching. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Sanjeev Patel Development of ASIC Chip-Set for High-End Network Processing Application-A Case Study. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Zhenyu Liu, Zhimei Zhou, Yueqiu Han Tracking radar digital matched-filter ASIC design and its error analysis. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Lionel Bening, Harry Foster Optimizing Multiple EDA Tools within the ASIC Design Flow. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Oleg Maslennikov Systematic Generation of Executing Programs for Processor Elements in Parallel ASIC or FPGA-Based Systems and Their Transformation into VHDL-Descriptions of Processor Element Control Units. Search on Bibsonomy PPAM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21L. Louis Zhang, Brent Beacham, Massoud R. Hashemi, Paul Chow, Alberto Leon-Garcia A Scheduler ASIC for a Programmable Packet Switch. Search on Bibsonomy IEEE Micro The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Ji-Soong Park, Chul-Hong Park, Sang-Uhk Rhie, Yoo-Hyon Kim, Moon-Hyun Yoo, Jeong-Taek Kong, Hyung-Woo Kim, Sun-Il Yoo An Efficient Rule-Based OPC Approach Using a DRC Tool for 0.18mum ASIC. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF CD, rule extraction, OPC, critical area, DRC
21Prabir Dasgupta, Santanu Chattopadhyay, Indranil Sengupta 0001 An ASIC for Cellular Automata Based Message Authentication. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21William J. Dally, Andrew Chang 0001 The role of custom design in ASIC Chips. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Peter Koch 0001 A Project-oriented Master Programme in "DSP Algorithms and ASIC Architectures". Search on Bibsonomy MSE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Massimo Buzzoni, Dario Cardini, Roberto Gallino, Roberto Romagnese ATM Traffic Management Systems: ASIC Fast Prototyping. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 1999 DBLP  DOI  BibTeX  RDF VHDL macrocells, FPGAs, Prototyping, ATM, Traffic Management
21Scott Davis, Jim Braatz, Jay Clement, Diane Honda Advanced instrument controller ASIC. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Wilfred Corrigan ASIC Challenges: Emerging from a Primordial Soup. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Jacobo Riesco, Juan Carlos Diaz, Luis A. Merayo, José Luis Conesa, Carlos Santos, Eduardo Juárez Martínez On the way to the 2.5 Gbits/s ATM network ATM multiplexer demultiplexer ASIC. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21Ming-Dou Ker, Chung-Yu Wu, Tao Cheng, Hun-Hsien Chang Capacitor-couple ESD protection circuit for deep-submicron low-voltage CMOS ASIC. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
21Michaël F. X. B. van Swaaij, Francky Catthoor, Hugo De Man Nonlinear transformations for high level regular array ASIC synthesis. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
21Michaël F. X. B. van Swaaij, Jan Rosseel, Francky Catthoor, Hugo De Man Synthesis of ASIC regular arrays for real-time image processing systems. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
21Stephen Walters Computer-Aided Prototyping for ASIC-Based Systems. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
21Michael J. Flynn, Robert I. Winner ASIC microprocessors. Search on Bibsonomy MICRO The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
21Michael Rumsey, John Sackett An ASIC Methodology for Mixed Analog-Digital Simulation. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
21Youssef Saab, Vasant B. Rao An Evolution-Based Approach to Partitioning ASIC Systems. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
21Steven S. Leung, Michael A. Shanblatt A Conceptual Framework for Designing ASIC Hardware. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
15Martin Rozkovec, Ondrej Novák Structural test of programmed FPGA circuits. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Christopher Kennedy, Arash Reyhani-Masoleh High-speed CRC computations using improved state-space transformations. Search on Bibsonomy EIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Mark Horowitz Why design must change: rethinking digital design. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Nan Wu 0003, Mei Wen, Wei Wu, Ju Ren 0002, Huayou Su, Changqing Xun, Chunyuan Zhang Streaming HD H.264 encoder on programmable processors. Search on Bibsonomy ACM Multimedia The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 1080P HD, H.264 encoder, real-time, stream, programmable
15Sumit Ahuja, Deepak Mathaikutty, Gaurav Singh 0006, Joe Stetzer, Sandeep K. Shukla, Ajit Dingankar Power estimation methodology for a high-level synthesis framework. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Kazuo Sakiyama, Tatsuya Yagi, Kazuo Ohta Fault Analysis Attack against an AES Prototype Chip Using RSL. Search on Bibsonomy CT-RSA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Random Switching Logic, Clock-based Attack, AES, Fault Analysis
15Vijay Khawshe, Kapil Vyas, Renu Rangnekar, Prateek Goyal, Vijay Krishna, Kashinath Prabhu, Pravin Kumar Venkatesan, Leneesh Raghavan, Rajkumar Palwai, M. Thrivikraman, Kunal Desai, Abhijit Abhyankar A 2.4Gbps-4.8Gbps XDR-DRAM I/O (XIO) Link. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Anmol Mathur, Qi Wang Power Reduction Techniques and Flows at RTL and System Level. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Sang-Kyo Han, SeongHoon Woo, Mun-Ho Jeong, Bum-Jae You Improved-Quality Real-Time Stereo Vision Processor. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Patrick de la Hamette, Gerhard Tröster Architecture and applications of the FingerMouse: a smart stereo camera for wearable computing HCI. Search on Bibsonomy Pers. Ubiquitous Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Mobile embedded vision, HCI, Wearable computing, Stereo vision, Hand tracking, Foreground segmentation
15Hadi Parandeh-Afshar, Philip Brisk, Paolo Ienne Efficient synthesis of compressor trees on FPGAs. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Cao Liang, Xinming Huang 0001 SmartCell: A power-efficient reconfigurable architecture for data streaming applications. Search on Bibsonomy SiPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Alan Kennedy, Xiaojun Wang 0001, Bin Liu 0001 Energy efficient packet classification hardware accelerator. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Deepak Sreedharan, Ali Akoglu A hybrid processing element based reconfigurable architecture for hashing algorithms. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15J. P. Grossman, Cliff Young, Joseph A. Bank, Kenneth M. Mackenzie, Doug Ierardi, John K. Salmon, Ron O. Dror, David E. Shaw Simulation and embedded software development for Anton, a parallel machine with heterogeneous multicore ASICs. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Anton, simulation, embedded software, special-purpose hardware
15Michelle Brown, Kenneth W. Hsu A novel 5.46 mW H.264/AVC video stream parser IC. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15John R. Feehrer, Paul Rotker, Milton Shih, Paul Gingras, Peter Yakutis, Stephen Phillips, John Heath, Sebastian Turullols Coherency Hub Design for Multi-Node Victoria Falls Server Systems. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-threaded processor cores, multi-node CMT systems, serial interconnects, packet switching, cache coherency
15Chao Huang, Srivaths Ravi 0001, Anand Raghunathan, Niraj K. Jha Generation of Heterogeneous Distributed Architectures for Memory-Intensive Applications Through High-Level Synthesis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Satish Sivaswamy, Kia Bazargan Variation-aware routing for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF statistical timing analysis, FPGA routing
15Gopinath Balakrishnan, Mei Yang, Yingtao Jiang, Yoohwan Kim Performance Analysis of Error Control Codes for Wireless Sensor Networks. Search on Bibsonomy ITNG The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Adrian Stoica, Didier Keymeulen, Ricardo Salem Zebulum, Mohammad M. Mojarradi, Srinivas Katkoori, Taher Daud Adaptive and Evolvable Analog Electronics for Space Applications. Search on Bibsonomy ICES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Adaptive Hardware, Field Programmable Arrays
15Lili Zhou, Cherry Wakayama, Robin Panda, Nuttorn Jangkrajarng, Bo Hu, C.-J. Richard Shi Implementing a 2-Gbs 1024-bit 1/2-rate low-density parity-check code decoder in three-dimensional integrated circuits. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Hans Kristian Otnes Berge, Philipp Häfliger High-Speed Serial AER on FPGA. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15X. Cano, Sebastià A. Bota, Ricardo Graciani Diaz, David Gascon, A. Herms, Albert Comerma, Jaume Segura 0001, Lluís Garrido Heavy Ion Test Results in a CMOS triple Voting Register for a High-Energy Physics Experiment. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Anatoly O. Melnyk, Andriy Salo Automatic generation of ASICs. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Shih-Wei Liao, Shih-Hao Hung, Chia-Heng Tu, Jen-Hao Chen Scalable Lossless High Definition Image Coding on Multicore Platforms. Search on Bibsonomy EUC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Multicore SoC, Image Decompress, Embedded System, Parallelization, Image Compress, JPEG2000, Lossless, Digital Cinema
15Huai-Yi Hsu, Jih-Chiang Yeo, An-Yeu Wu Multi-Symbol-Sliced Dynamically Reconfigurable Reed-Solomon Decoder Design Based on Unified Finite-Field Processing Element. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Amit Kumar Gupta, Saeid Nooshabadi, David Taubman, Michael Dyer Realizing Low-Cost High-Throughput General-Purpose Block Encoder for JPEG2000. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15David H. Goldberg, Andreas G. Andreou, Pedro Julián, Philippe O. Pouliquen, Laurence Riddle, Rich Rosasco VLSI implementation of an energy-aware wake-up detector for an acoustic surveillance sensor network. Search on Bibsonomy ACM Trans. Sens. Networks The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Wake-up, acoustic surveillance, sensor networks, power management, periodicity, VLSI implementation, vehicle detection
15Götz Kappen, Tobias G. Noll Application specific instruction processor based implementation of a GNSS receiver on an FPGA. Search on Bibsonomy DATE Designers' Forum The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Ari Kulmala, Timo D. Hämäläinen, Marko Hännikäinen Reliable GALS Implementation of MPEG-4 Encoder with Mixed Clock FIFO on Standard FPGA. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Pil Woo Chun, Lev Kirischian A Framework for a Dynamically Reconfigurable System in a Parallel Multi-Tasking Environment. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Owen Callanan, David Gregg, Andy Nisbet, Mike Peardon High Performance Scientific Computing Using FPGAs with IEEE Floating Point and Logarithmic Arithmetic for Lattice QCD. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Tjerk Bijlsma, Pascal T. Wolkotte, Gerard J. M. Smit An optimal architecture for a DDC. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15George Economakos High-level synthesis with reconfigurable datapath components. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Mustafa Gök, Çaglar Yilmaz Hardware Designs for Local Alignment of Protein Sequences. Search on Bibsonomy ISCIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Axel Reimer, Arne Schulz, Wolfgang Nebel Modelling macromodules for high-level dynamic power estimation of FPGA-based digital designs. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA power estimation, RT-level power modeling, high-level power estimation
15Paul Gruijters, Bertrand Vandewiele Algorithm Partitioning and SoC Design for OFDM Communication Systems Using Multiple Application Specific Processors. Search on Bibsonomy ISM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Michael D. Linderman, Teresa H. Meng A low power merge cell processor for real-time spike sorting in implantable neural prostheses. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Yuan-Sun Chu, Hui-Kai Su, Po-Feng Lin, Ming-Jen Chen High speed routing lookup IC design for IPv6. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Yu-Jung Huang, Yang-Shih Lin, Kuang-Yu Hung, Kuo-Chen Lin Efficient Implementation of AES IP. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Kui Wang, Lian Duan, Xu Cheng ExtensiveSlackBalance: an approach to make front-end tools aware of clock skew scheduling. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF back-annotation, skew scheduling, logic synthesis, clock skew
15Paul Villarrubia Physical design tools for hierarchy. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Mohammed Javed Absar, Pol Marchal, Francky Catthoor Data-Access Optimization of Embedded Systems Through Selective Inlining Transformation. Search on Bibsonomy ESTIMedia The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Ruchir Puri, David S. Kung 0001, Leon Stok Minimizing power with flexible voltage islands. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Jung-Ho Kim, Dong Sam Ha, Jeffrey H. Reed A new reconfigurable modem architecture for 3G multi-standard wireless communication systems. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Subhrajit Bhattacharya, John A. Darringer, Daniel L. Ostapko, Youngsoo Shin A Mask Reuse Methodology for Reducing System-on-a-Chip Cost. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Gaurav Kumar Varshney, Sreeram Chandrasekar An Efficient Methodology for Noise Characterization. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Pierre G. Paulin DATE Panel: Chips of the Future: Soft, Crunchy or Hard? Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Klaus Winkelmann, Hans-Joachim Trylus, Dominik Stoffel, Görschwin Fey Cost-Efficient Block Verification for a UMTS Up-Link Chip-Rate Coprocessor. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Nikhil Jayakumar, Sunil P. Khatri A metal and via maskset programmable VLSI design methodology using PLAs. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Bill Eklow What Do You Mean My Board Test Stinks? Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Sandip Kundu, T. M. Mak, Rajesh Galivanche Trends in manufacturing test methods and their implications. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Seetharaman Ramachandran, S. Srinivasan 0001 Design and FPGA Implementation of a Video Scalar with on-chip reduced memory utilization. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Patrick Lysaght Future Design Tools for Platform FPGAs. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Youhei Zenda, Koji Nakamae, Hiromu Fujioka Cost Optimum Embedded DRAM Design by Yield Analysis. Search on Bibsonomy MTDT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Patrick R. Schulz, Ulrich Brüning 0001, Gunter Strube SEED2002 Support of Educational course for Electronic Design. Search on Bibsonomy MSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Chen Chang, Kimmo Kuusilinna, Brian C. Richards, Allen Chen, Nathan Chan, Robert W. Brodersen, Borivoje Nikolic Rapid Design and Analysis of Communication Systems Using the BEE Hardware Emulation Environment. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Ya-Lan Tsao, Ming Hsuan Tan, Jun-Xian Teng, Shyh-Jye Jou Parameterized and low power DSP core for embedded systems. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Terry Tao Ye, Giovanni De Micheli Physical Planning for On-Chip Multiprocessor Networks and Switch Fabrics. Search on Bibsonomy ASAP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Nicolas Sklavos 0001, Alexander A. Moldovyan, Odysseas G. Koufopavlou Encryption and Data Dependent Permutations: Implementation Cost and Performance Evaluation. Search on Bibsonomy MMM-ACNS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF DDP Transformations, CIKS-1, Block Cipher, Hardware Implementations, SPECTR-H64
Displaying result #201 - #300 of 4296 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license