The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ASP with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1967-1994 (16) 1995 (81) 1997 (101) 1998 (105) 1999 (98) 2000 (148) 2001 (189) 2002 (164) 2003 (238) 2004 (268) 2005 (393) 2006 (227) 2007 (235) 2008 (215) 2009 (235) 2010 (205) 2011 (197) 2012 (195) 2013 (191) 2014 (176) 2015 (202) 2016 (174) 2017 (186) 2018 (185) 2019 (182) 2020 (171) 2021 (197) 2022 (175) 2023 (183) 2024 (12)
Publication types (Num. hits)
article(378) book(20) data(1) incollection(8) inproceedings(4902) phdthesis(7) proceedings(28)
Venues (Conferences, Journals, ...)
ASP-DAC(3979) ASP-DAC/VLSI Design(129) CoRR(110) LPNMR(101) Answer Set Programming(85) Theory Pract. Log. Program.(53) ICLP(41) CILC(23) HICSS(22) Interfaces(21) JELIA(18) PADL(17) IJCAI(16) ICLP (Technical Communications...(13) KR(13) AAAI(10) More (+10 of total 478)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 860 occurrences of 653 keywords

Results
Found 5360 publication records. Showing 5344 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
25A. V. Lakshmy, Chester Rebeiro, Swarup Bhunia FORTIFY: Analytical Pre-Silicon Side-Channel Characterization of Digital Designs. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Tai Yang, Guoqing He, Peng Cao 0002 Pre-Routing Path Delay Estimation Based on Transformer and Residual Framework. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Shuyue Lan, Zhilu Wang, John Mamish, Josiah D. Hester, Qi Zhu 0002 AdaSens: Adaptive Environment Monitoring by Coordinating Intermittently-Powered Sensors. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Etienne Dupuis, David Novo, Ian O'Connor, Alberto Bosio A Heuristic Exploration of Retraining-free Weight-Sharing for CNN Compression. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Chen Tang, Wenyu Sun, Wenxun Wang, Yongpan Liu Dynamic CNN Accelerator Supporting Efficient Filter Generator with Kernel Enhancement and Online Channel Pruning. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Jian-De Li, Sying-Jyan Wang, Katherine Shu-Min Li, Tsung-Yi Ho Design-for-Reliability and Probability-Based Fault Tolerance for Paper-Based Digital Microfluidic Biochips with Multiple Faults. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Yuhong Song, Edwin Hsing-Mean Sha, Qingfeng Zhuge, Rui Xu 0013, Yongzhuo Zhang, Bingzhe Li, Lei Yang 0018 BSC: Block-based Stochastic Computing to Enable Accurate and Efficient TinyML. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Jingyao Zhao, Changhao Yan, Zhaori Bi, Fan Yang 0001, Xuan Zeng 0001, Dian Zhou A Novel and Efficient Bayesian Optimization Approach for Analog Designs with Multi-Testbench. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Sung-Yun Lee, Daeyeon Kim, Kyungjun Min, Seokhyeong Kang Signal-Integrity-Aware Interposer Bus Routing in 2.5D Heterogeneous Integration. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Sajjad Parvin, Thilo Krachenfels, Shahin Tajik, Jean-Pierre Seifert, Frank Sill Torres, Rolf Drechsler Toward Optical Probing Resistant Circuits: A Comparison of Logic Styles and Circuit Design Techniques. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Yen-Ting Tsou, Kuan-Hsun Chen, Chia-Lin Yang, Hsiang-Yun Cheng, Jian-Jia Chen, Der-Yu Tsai This is SPATEM! A Spatial-Temporal Optimization Framework for Efficient Inference on ReRAM-based CNN Accelerator. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Abhinav Goel, Caleb Tung, Xiao Hu 0004, George K. Thiruvathukal, James C. Davis 0001, Yung-Hsiang Lu Efficient Computer Vision on Edge Devices with Pipeline-Parallel Hierarchical Neural Networks. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Ganapathy Parthasarathy, Aabid Rushdi, Parivesh Choudhary, Saurav Nanda, Malan Evans, Hansika Gunasekara, Sridhar Rajakumar RTL Regression Test Selection using Machine Learning. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Prithwish Basu Roy, Patanjali SLPSK, Chester Rebeiro Avatar: Reinforcing Fault Attack Countermeasures in EDA with Fault Transformations. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Wen Zhang, Tao Liu, Mimi Xie, Longzhuang Li, Dulal Kar, Chen Pan Energy Harvesting Aware Multi-Hop Routing Policy in Distributed IoT System Based on Multi-Agent Reinforcement Learning. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Jonas Krautter, Mahta Mayahinia, Dennis R. E. Gnad, Mehdi B. Tahoori Data Leakage through Self-Terminated Write Schemes in Memristive Caches. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Fereshte Mozafari, Yuxiang Yang, Giovanni De Micheli Efficient Preparation of Cyclic Quantum States. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Felix Last, Ceren Yeni, Ulf Schlichtmann Differentially Evolving Memory Ensembles: Pareto Optimization based on Computational Intelligence for Embedded Memories on a System Level. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Naomi Sagan, Jaijeet Roychowdhury Transient Adjoint DAE Sensitivities: a Complete, Rigorous, and Numerically Accurate Formulation. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Zerun Li, Xiaoming Chen 0003, Yinhe Han 0001 Optimal Data Allocation for Graph Processing in Processing-in-Memory Systems. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Tomofumi Tsuchida, Akira Tsuchiya, Toshiyuki Inoue, Keiji Kishine Supply-Variation-Tolerant Transimpedance Amplifier Using Non-Inverting Amplifier in 180-nm CMOS. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Tay-Jyi Lin, Chen-Zong Liao, You-Jia Hu, Wei-Cheng Hsu, Zheng-Xian Wu, Shao-Yu Wang, Chun-Ming Huang, Ying-Hui Lai, Chingwei Yeh, Jinn-Shyan Wang A 40nm CMOS SoC for Real-Time Dysarthric Voice Conversion of Stroke Patients. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Yixiong Yang, Ruoyang Liu, Wenyu Sun, Jinshan Yue, Huazhong Yang, Yongpan Liu Toward Low-Bit Neural Network Training Accelerator by Dynamic Group Accumulation. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Mariam Tlili, Alhassan Sayed, Doaa Mahmoud, Marie-Minerve Louërat, Hassan Aboushady, Haralampos-G. Stratigopoulos Anti-Piracy of Analog and Mixed-Signal Circuits in FD-SOI. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Hanqing Zhu, Jiaqi Gu, Chenghao Feng, Mingjie Liu, Zixuan Jiang, Ray T. Chen, David Z. Pan ELight: Enabling Efficient Photonic In-Memory Neurocomputing with Life Enhancement. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Andrea Damiani, Emanuele Del Sozzo, Marco D. Santambrogio Large Forests and Where to "Partially" Fit Them. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Tianen Chen, Taylor Kemp, Younghyun Kim 0001 SYNTHNET: A High-throughput yet Energy-efficient Combinational Logic Neural Network. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Rachel Selina Rajarathnam, Mohamed Baker Alawieh, Zixuan Jiang, Mahesh A. Iyer, David Z. Pan DREAMPlaceFPGA: An Open-Source Analytical Placer for Large Scale Heterogeneous FPGAs using Deep-Learning Toolkit. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Keren Zhu 0001, Hao Chen 0059, Mingjie Liu, David Z. Pan Automating Analog Constraint Extraction: From Heuristics to Learning: (Invited Paper). Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Hsin-Chuan Huang, Chi-Chun Liang, Qining Wang, Xing Huang, Tsung-Yi Ho, Chang-Jin Kim 0001 NR-Router: Non-Regular Electrode Routing with Optimal Pin Selection for Electrowetting-on-Dielectric Chips. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Kai Wang, Peng Cao 0002 A Graph Neural Network Method for Fast ECO Leakage Power Optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Jiacheng Huang, Min Peng 0002, Libing Wu, Chun Jason Xue, Qingan Li Lamina: Low Overhead Wear Leveling for NVM with Bounded Tail. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Zheyu Yan, Weiwen Jiang, Xiaobo Sharon Hu, Yiyu Shi 0001 RADARS: Memory Efficient Reinforcement Learning Aided Differentiable Neural Architecture Search. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Hua Jiang, Raghav Chakravarthy, Ravikumar V. Chakaravarthy A Task Parallelism Runtime Solution for Deep Learning Applications using MPSoC on Edge Devices. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Taikun Ma, Wei Deng 0001, Haikun Jia, Yejun He, Baoyong Chi A 76-81 GHz FMCW 2TX/3RX Radar Transceiver with Integrated Mixed-Mode PLL and Series-Fed Patch Antenna Array. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Muhammad Rashedul Haq Rashed, Sven Thijssen, Sumit Kumar Jha 0001, Fan Yao, Rickard Ewetz STREAM: Towards READ-based In-Memory Computing for Streaming based Data Processing. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Can Deng, Zhaoyun Chen, Yang Shi, Xichang Kong, Mei Wen Exploring ILP for VLIW Architecture by Quantified Modeling and Dynamic Programming-Based Instruction Scheduling. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Sooryaa Vignesh Thiruloga, Vipin Kumar Kukkala, Sudeep Pasricha TENET: Temporal CNN with Attention for Anomaly Detection in Automotive Cyber-Physical Systems. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Maedeh Hemmat, Azadeh Davoodi, Yu Hen Hu $\text{Edge}^{n}$ AI: Distributed Inference with Local Edge Devices and Minimal Latency. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Shuyuan Yu, Maliha Tasnim, Sheldon X.-D. Tan HEALM: Hardware-Efficient Approximate Logarithmic Multiplier with Reduced Error. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Nibedita Karmokar, Meghna Madhusudan, Arvind K. Sharma, Ramesh Harjani, Mark Po-Hung Lin, Sachin S. Sapatnekar Common-Centroid Layout for Active and Passive Devices: A Review and the Road Ahead. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Yufei Chen, Haojie Pei, Xiao Dong, Zhou Jin 0001, Cheng Zhuo Application of Deep Learning in Back-End Simulation: Challenges and Opportunities. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Pengfei Qiu, Dongsheng Wang 0002, Yongqiang Lyu 0001, Gang Qu 0001 DVFSspy: Using Dynamic Voltage and Frequency Scaling as a Covert Channel for Multiple Procedures. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Hao Geng, Tinghuan Chen, Qi Sun 0002, Bei Yu 0001 Techniques for CAD Tool Parameter Auto-tuning in Physical Synthesis: A Survey (Invited Paper). Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Akash Levy, Michael Oduoza, Akhilesh Balasingam, Roger T. Howe, Priyanka Raina Efficient Routing in Coarse-Grained Reconfigurable Arrays Using Multi-Pole NEM Relays. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Kexing Zhou, Zizheng Guo, Tsung-Wei Huang, Yibo Lin Efficient Critical Paths Search Algorithm using Mergeable Heap. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Gerold Fink, Philipp Ebner, Sudip Poddar, Robert Wille Improving the Robustness of Microfluidic Networks. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Jianan Mu, Yixuan Zhao, Zongyue Wang, Jing Ye 0001, Junfeng Fan, Shuai Chen, Huawei Li 0001, Xiaowei Li 0001, Yuan Cao 0003 A Voltage Template Attack on the Modular Polynomial Subtraction in Kyber. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Ling-Yen Song, Tung-Chieh Kuo, Ming-Hung Wang, Chien-Nan Jimmy Liu, Juinn-Dar Huang Fast Variation-aware Circuit Sizing Approach for Analog Design with ML-Assisted Evolutionary Algorithm. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Alessandro Tempia Calvino, Heinz Riener, Shubham Rai, Akash Kumar 0001, Giovanni De Micheli A Versatile Mapping Approach for Technology Mapping and Graph Optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Benjamin Carrión Schäfer Hotspot Mitigation through Multi-Row Thermal-aware Re-Placement of Logic Cells based on High-Level Synthesis Scheduling. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Congcong Chen, Chaoqun Shen, Jiliang Zhang 0002 Lightweight and Secure Branch Predictors against Spectre Attacks. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Shiyu Su, Qiaochu Zhang, Juzheng Liu, Mohsen Hassanpourghadi, Rezwan A. Rasul, Mike Shuo-Wei Chen TAFA: Design Automation of Analog Mixed-Signal FIR Filters Using Time Approximation Architecture. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Lukas Burgholzer, Sarah Schneider, Robert Wille Limiting the Search Space in Optimal Quantum Circuit Mapping. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Chen Wang, Weikang Qian Linear Feedback Shift Register Reseeding for Stochastic Circuit Repairing and Minimization. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Hongxiang Fan, Martin Ferianc, Zhiqiang Que, He Li 0008, Shuanglong Liu, Xinyu Niu, Wayne Luk Algorithm and Hardware Co-design for Reconfigurable CNN Accelerator. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Mohamed Saleh Abouelyazid, Sherif Hammouda, Yehea Ismail A Fast and Accurate Middle End of Line Parasitic Capacitance Extraction for MOSFET and FinFET Technologies Using Machine Learning. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Arko Dutt, Suprojit Nandy, Mohamed M. Sabry Pearl: Towards Optimization of DNN-accelerators Via Closed-Form Analytical Representation. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Shiyu Su, Qiaochu Zhang, Mohsen Hassanpourghadi, Juzheng Liu, Rezwan A. Rasul, Mike Shuo-Wei Chen Analog/Mixed-Signal Circuit Synthesis Enabled by the Advancements of Circuit Architectures and Machine Learning Algorithms. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Ulrich Brenner, Anna Silvanus Delay Optimization of Combinational Logic by AND-OR Path Restructuring. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Darayus Adil Patel, Viet Phuong Bui, Kevin Tshun Chuan Chai, Amit Lal, Mohamed M. Sabry Aly SonicFFT: A system architecture for ultrasonic-based FFT acceleration. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Jiaxi Zhang 0001, Qiuyang Gao, Yijiang Guo, Bizhao Shi, Guojie Luo EasyMAC: Design Exploration-Enabled Multiplier-Accumulator Generator Using a Canonical Architectural Representation: (Invited Paper). Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Xiqiong Bai, Ziran Zhu, Peng Zou, Jianli Chen, Jun Yu 0010, Yao-Wen Chang Voronoi Diagram Based Heterogeneous Circuit Layout Centerline Extraction for Mask Verification. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Xinheng Liu, Yao Chen 0008, Prakhar Ganesh, Junhao Pan, Jinjun Xiong, Deming Chen HiKonv: High Throughput Quantized Convolution With Novel Bit-wise Management and Computation. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Junichiro Kadomoto, Hidetsugu Irie, Shuichi Sakai Deformable Chiplet-Based Computer Using Inductively Coupled Wireless Communication. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Dehua Liang, Jun Shiomi, Noriyuki Miura, Hiromitsu Awano DistriHD: A Memory Efficient Distributed Binary Hyperdimensional Computing Architecture for Image Classification. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Ziwei Li, Han Xu 0006, Zheyu Liu, Li Luo, Qi Wei 0001, Fei Qiao A 2.17μW@120fps Ultra-Low-Power Dual-Mode CMOS Image Sensor with Senputing Architecture. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Febin Sunny, Mahdi Nikdast, Sudeep Pasricha SONIC: A Sparse Neural Network Inference Accelerator with Silicon Photonics for Energy-Efficient Deep Learning. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Xiaoming Chen 0003, Yinhe Han 0001 Solving Least-Squares Fitting in $O(1)$ Using RRAM-based Computing-in-Memory Technique. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Mengyu Guo, Zihan Zhang, Jianfei Jiang 0001, Qin Wang 0009, Naifeng Jing Boosting ReRAM-based DNN by Row Activation Oversubscription. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Zishen Wan, Ashwin Sanjay Lele, Arijit Raychowdhury Circuit and System Technologies for Energy-Efficient Edge Robotics: (Invited Paper). Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Ze-Han Wang, Zhenli He, Hui Fang, Yi-Xiong Huang, Ying Sun, Yu Yang, Zhi-Yuan Zhang, Di Liu 0002 Efficient On-Device Incremental Learning by Weight Freezing. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Hussam Amrouch, Florian Klemme, Paul R. Genssler Design Close to the Edge for Advanced Technology using Machine Learning and Brain-Inspired Algorithms. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Rui Xu 0013, Edwin Hsing-Mean Sha, Qingfeng Zhuge, Yuhong Song, Jingzhi Lin Optimal Loop Tiling for Minimizing Write Operations on NVMs with Complete Memory Latency Hiding. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Yuanbin Zhou, Soheil Samii, Petru Eles, Zebo Peng Time-Triggered Scheduling for Time-Sensitive Networking with Preemption. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Jia-Xian Chen, Shi-Tang Liu, Yu-Tsung Wu, Mu-Ting Wu, Chien-Mo James Li, Norman Chang, Ying-Shiun Li, Wentze Chuang Vector-based Dynamic IR-drop Prediction Using Machine Learning. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Chengrui Zhang, Yu Ma, Pingqiang Zhou Thermal-Aware Layout Optimization and Mapping Methods for Resistive Neuromorphic Engines. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Rami Beidas, Jason Helge Anderson CGRA Mapping Using Zero-Suppressed Binary Decision Diagrams. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Yilan Li, Haowen Fang, Mingyang Li, Yue Ma, Qinru Qiu Neural Network Pruning and Fast Training for DRL-based UAV Trajectory Planning. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Mohammadamir Kavousi, Liang Chen 0025, Sheldon X.-D. Tan Fast Electromigration Stress Analysis Considering Spatial Joule Heating Effects. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Ahmet Faruk Budak, Zixuan Jiang, Keren Zhu 0001, Azalia Mirhoseini, Anna Goldie, David Z. Pan Reinforcement Learning for Electronic Design Automation: Case Studies and Perspectives: (Invited Paper). Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Md Tanvir Arafin Computation-in-Memory Accelerators for Secure Graph Database: Opportunities and Challenges. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Chongnan Ye, Chundong Wang 0001 Boosting the Search Performance of B+-tree with Sentinels for Non-volatile Memory. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Jiho Kim, Kwoanyoung Park, Tae-Hwan Kim A Reconfigurable Inference Processor for Recurrent Neural Networks Based on Programmable Data Format in a Resource-Limited FPGA. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Hongyu Fang, Milos Doroslovacki, Guru Venkataramani SC-K9: A Self-synchronizing Framework to Counter Micro-architectural Side Channels. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Sen Yin, Wenfei Hu, Wenyuan Zhang 0001, Ruitao Wang, Jian Zhang, Yan Wang 0023 An Efficient Kriging-based Constrained Multi-objective Evolutionary Algorithm for Analog Circuit Synthesis via Self-adaptive Incremental Learning. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Yuxuan Huang, Yifan He, Jinshan Yue, Wenyu Sun, Huazhong Yang, Yongpan Liu Sparsity-Aware Non-Volatile Computing-In-Memory Macro with Analog Switch Array and Low-Resolution Current-Mode ADC. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Hao Kong, Di Liu 0002, Xiangzhong Luo, Weichen Liu, Ravi Subramaniam HACScale: Hardware-Aware Compound Scaling for Resource-Efficient DNNs. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Liang Chen 0025, Wentian Jin, Sheldon X.-D. Tan Fast Thermal Analysis for Chiplet Design based on Graph Convolution Networks. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Fan Zhang 0069, Li Yang 0009, Jian Meng, Yu Kevin Cao, Jae-sun Seo, Deliang Fan XBM: A Crossbar Column-wise Binary Mask Learning Method for Efficient Multiple Task Adaption. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Tashfia Alam, Zhenkun Yang, Bo Chen, Nicholas Armour, Sandip Ray FirVer: Concolic Testing for Systematic Validation of Firmware Binaries. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Keren Zhu 0001, Hao Chen 0059, Mingjie Liu, Xiyuan Tang, Wei Shi, Nan Sun 0001, David Z. Pan Generative-Adversarial-Network-Guided Well-Aware Placement for Analog Circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Tiago D. Perez, Samuel Pagliarini A Side-Channel Hardware Trojan in 65nm CMOS with $2\mu\mathrm{W}$ precision and Multi-bit Leakage Capability. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Yue Xing 0001, Aarti Gupta, Sharad Malik Generalizing Tandem Simulation: Connecting High-level and RTL Simulation Models. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Anlan Yu, Ning Lyu, Wujie Wen, Zhiyuan Yan Reliable Memristive Neural Network Accelerators Based on Early Denoising and Sparsity Induction. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Liuyao Dai, Quan Cheng, Yuhang Wang, Gengbin Huang, Junzhuo Zhou, Kai Li, Wei Mao 0002, Hao Yu 0001 An Energy-Efficient Bit-Split-and-Combination Systolic Accelerator for NAS-Based Multi-Precision Convolution Neural Networks. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Yishuang Lin, Rongjian Liang, Yaguang Li, Hailiang Hu, Jiang Hu Mapping Large Scale Finite Element Computing on to Wafer-Scale Engines. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Hsuan Hsiao, Joshua San Miguel, Jason Helge Anderson Streaming Accuracy: Characterizing Early Termination in Stochastic Computing. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Guowei Chen, Xinyang Yu, Yue Wang, Tran Minh Quan, Naofumi Matsuyama, Takuya Tsujimura, Kiichi Niitsu A 0.5 mm2 Ambient Light-Driven Solar Cell-Powered Biofuel Cell-Input Biosensing System with LED Driving for Stand-Alone RF-Less Continuous Glucose Monitoring Contact Lens. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Qidong Tang, Zhezhi He, Fangxin Liu, Zongwu Wang, Yiyuan Zhou, Yinghuan Zhang, Li Jiang 0002 HAWIS: Hardware-Aware Automated WIdth Search for Accurate, Energy-Efficient and Robust Binary Neural Network on ReRAM Dot-Product Engine. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 5344 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license