|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 2930 occurrences of 1614 keywords
|
|
|
Results
Found 7434 publication records. Showing 7434 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
27 | Tianjun Fu, Ahmed Abbasi, Hsinchun Chen |
Interaction Coherence Analysis for Dark Web Forums. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISI ![In: IEEE International Conference on Intelligence and Security Informatics, ISI 2007, New Brunswick, New Jersey, USA, May 23-24, 2007, Proceedings, pp. 342-349, 2007, IEEE, 1-4244-1329-X. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
27 | Sindhu Joseph, Carles Sierra, W. Marco Schorlemmer |
A Coherence Based Framework for Institutional Agents. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COIN ![In: Coordination, Organizations, Institutions, and Norms in Agent Systems III, COIN 2007, International Workshops COIN@AAMAS 2007, Honolulu, HI, USA, May 14, 2007, COIN@MALLOW 2007, Durham, UK, September 3-4, 2007, Revised Selected Papers, pp. 287-300, 2007, Springer, 978-3-540-79002-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
27 | Michael R. Marty, Mark D. Hill |
Coherence Ordering for Ring-based Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-39 2006), 9-13 December 2006, Orlando, Florida, USA, pp. 309-320, 2006, IEEE Computer Society, 0-7695-2732-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Robert van Engelen, Madhusudhan Govindaraju, Wei Zhang 0020 |
Exploring Remote Object Coherence in XMLWeb Services. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICWS ![In: 2006 IEEE International Conference on Web Services (ICWS 2006), 18-22 September 2006, Chicago, Illinois, USA, pp. 249-256, 2006, IEEE Computer Society, 0-7695-2669-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Rana Ejaz Ahmed |
Energy-Aware Cache Coherence Protocol for Chip-Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCECE ![In: Proceedings of the Canadian Conference on Electrical and Computer Engineering, CCECE 2006, May 7-10, 2006, Ottawa Congress Centre, Ottawa, Canada, pp. 82-85, 2006, IEEE, 1-4244-0038-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Ferdinando Giordano, Marcello Goccia, Silvana G. Dellepiane |
Segmentation of coherence maps for flood damage assessment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP (2) ![In: Proceedings of the 2005 International Conference on Image Processing, ICIP 2005, Genoa, Italy, September 11-14, 2005, pp. 233-236, 2005, IEEE, 0-7803-9134-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
27 | Sudhindra Pandav, Konrad Slind, Ganesh Gopalakrishnan |
Counterexample Guided Invariant Discovery for Parameterized Cache Coherence Verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHARME ![In: Correct Hardware Design and Verification Methods, 13th IFIP WG 10.5 Advanced Research Working Conference, CHARME 2005, Saarbrücken, Germany, October 3-6, 2005, Proceedings, pp. 317-331, 2005, Springer, 3-540-29105-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
27 | Akira Yamawaki 0002, Masahiko Iwane |
Coherence Maintenances to realize an efficient parallel processing for a Cache Memory with Synchronization on a Chip-Multiprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPAN ![In: 8th International Symposium on Parallel Architectures, Algorithms, and Networks, ISPAN 2005, December 7-9. 2005, Las Vegas, Nevada, USA, pp. 324-333, 2005, IEEE Computer Society, 0-7695-2509-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
27 | Mainak Chaudhuri, Mark A. Heinrich |
Exploring Virtual Network Selection Algorithms in DSM Cache Coherence Protocols. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 15(8), pp. 699-712, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
27 | Zimbul Albo, Gonzalo Viana Di Prisco, Yonghong Chen, Govindan Rangarajan, Wilson A. Truccolo, Jianfeng Feng, Robert P. Vertes, Mingzhou Ding |
Is partial coherence a viable technique for identifying generators of neural oscillations? ![Search on Bibsonomy](Pics/bibsonomy.png) |
Biol. Cybern. ![In: Biol. Cybern. 90(5), pp. 318-326, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
27 | Rosemary Luckin, Joshua Underwood, Benedict du Boulay, Joe Holmberg, Hilary Tunley |
Coherence Compilation: Applying AIED Techniques to the Reuse of Educational TV Resources. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Intelligent Tutoring Systems ![In: Intelligent Tutoring Systems, 7th International Conference, ITS 2004, Maceiò, Alagoas, Brazil, August 30 - September 3, 2004, Proceedings, pp. 98-107, 2004, Springer, 3-540-22948-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
27 | Jie Tao 0001, Wolfgang Karl |
Impact of Cache Coherence Models on Performance of OpenMP Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2004 Parallel Processing, 10th International Euro-Par Conference, Pisa, Italy, August 31-September 3, 2004, Proceedings, pp. 149-154, 2004, Springer, 3-540-22924-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
27 | Anca-Andreea Ivan, Vijay Karamcheti |
Flecc: A Flexible Cache Coherence Protocol for Dynamic Component-Based Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), CD-ROM / Abstracts Proceedings, 26-30 April 2004, Santa Fe, New Mexico, USA, 2004, IEEE Computer Society, 0-7695-2132-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
27 | Qing Xu 0002, Roberto Brunelli, Stefano Messelodi, Jiawan Zhang, Mingchu Li |
Image Coherence Based Adaptive Sampling for Image Synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (2) ![In: Computational Science and Its Applications - ICCSA 2004, International Conference, Assisi, Italy, May 14-17, 2004, Proceedings, Part II, pp. 693-702, 2004, Springer, 3-540-22056-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
27 | Joris Hulstijn, Frank Dignum, Mehdi Dastani |
Coherence Constraints for Agent Interaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AC ![In: Agent Communication, International Workshop on Agent Communication, AC 2004, New York, NY, USA, July 19, 2004, Revised Selected and Invited Papers, pp. 134-152, 2004, Springer, 3-540-25015-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
27 | Hendrik Dahlkamp, Artur Ottlik, Hans-Hellmut Nagel |
Comparison of Edge-Driven Algorithms for Model-Based Motion Estimation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SCVMA ![In: Spatial Coherence for Visual Motion Analysis, First International Workshop, SCVMA 2004, Prague, Czech Republic, May 15, 2004, Revised Papers, pp. 38-50, 2004, Springer, 3-540-32533-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
27 | Milo M. K. Martin, Mark D. Hill, David A. Wood 0001 |
Token Coherence: A New Framework for Shared-Memory Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 23(6), pp. 108-116, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
27 | Eva Möller, Bärbel Schack, Nuria Vath, Herbert Witte |
Fitting of one ARMA model to multiple trials increases the time resolution of instantaneous coherence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Biol. Cybern. ![In: Biol. Cybern. 89(4), pp. 303-312, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
27 | Anna C. Gilbert, S. Muthukrishnan 0001, Martin Strauss 0001 |
Approximation of functions over redundant dictionaries using coherence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SODA ![In: Proceedings of the Fourteenth Annual ACM-SIAM Symposium on Discrete Algorithms, January 12-14, 2003, Baltimore, Maryland, USA., pp. 243-252, 2003, ACM/SIAM, 0-89871-538-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
27 | Jerzy Brzezinski, Michal Szychowiak |
Low Cost Coherence Protocol for DSM Systems with Processor Consistency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCIS ![In: Computer and Information Sciences - ISCIS 2003, 18th International Symposium, Antalya, Turkey, November 3-5, 2003, Proceedings, pp. 916-925, 2003, Springer, 3-540-20409-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
27 | Sangman Moh, Jae-Hong Shim, Yang-Dong Lee, Jeong-A Lee, Beom-Joon Cho |
Design and Evaluation of a Cache Coherence Adapter for the SMP Nodes Interconnected via Xcent-Net. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCIS ![In: Computer and Information Sciences - ISCIS 2003, 18th International Symposium, Antalya, Turkey, November 3-5, 2003, Proceedings, pp. 908-915, 2003, Springer, 3-540-20409-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
27 | Radhakrishnan Sundaresan, Tahsin M. Kurç, Mario Lauria, Srinivasan Parthasarathy 0001, Joel H. Saltz |
A Slacker Coherence rotocol for Pull-based Monitoring of On-line Data Source. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCGRID ![In: 3rd IEEE International Symposium on Cluster Computing and the Grid (CCGrid 2003), 12-15 May 2003, Tokyo, Japan, pp. 250-257, 2003, IEEE Computer Society, 0-7695-1919-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
27 | E. Allen Emerson, Vineet Kahlon |
Rapid Parameterized Model Checking of Snoopy Cache Coherence Protocols. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TACAS ![In: Tools and Algorithms for the Construction and Analysis of Systems, 9th International Conference, TACAS 2003, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2003, Warsaw, Poland, April 7-11, 2003, Proceedings, pp. 144-159, 2003, Springer, 3-540-00898-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
27 | DeQing Chen, Chunqiang Tang, Brandon Sanders, Sandhya Dwarkadas, Michael L. Scott |
Exploiting high-level coherence information to optimize distributed shared state. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP 2003, June 11-13, 2003, San Diego, CA, USA, pp. 131-142, 2003, ACM, 1-58113-588-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
27 | Joseph A. Izatt, Siavash Yazdanfar, Volker Westphal, Sunita Radhakrishnan, Andrew M. Rollins |
Real-time and functional optical coherence tomography. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISBI ![In: Proceedings of the 2002 IEEE International Symposium on Biomedical Imaging, Ritz-Carlton Hotel, Washington, DC, USA, 7-10 June 2002, pp. 110-112, 2002, IEEE, 0-7803-7584-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
27 | H. Sarojadevi, S. K. Nandy 0001, Srinivasan Balakrishnan |
Enforcing Cache Coherence at Data Sharing Boundaries without Global Control: A Hardware-Software Approach (Research Note). ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2002, Parallel Processing, 8th International Euro-Par Conference Paderborn, Germany, August 27-30, 2002, Proceedings, pp. 543-546, 2002, Springer, 3-540-44049-6. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
27 | Yong Luo 0001, Zhaohui Luo |
Coherence and Transitivity in Coercive Subtyping. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LPAR ![In: Logic for Programming, Artificial Intelligence, and Reasoning, 8th International Conference, LPAR 2001, Havana, Cuba, December 3-7, 2001, Proceedings, pp. 249-265, 2001, Springer, 3-540-42957-3. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
27 | David M. Brooks, Margaret Martonosi |
Implementing Application-Specific Cache-Coherence Protocols in Configurable Hardware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CANPC ![In: Network-Based Parallel Computing: Communication, Architecture, and Applications, Third International Workshop, CANPC '99, Orlando, Forida, USA, January 9, 1999, Proceedings, pp. 181-195, 1999, Springer, 3-540-65915-3. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
27 | Susan C. Herring |
Interactional Coherence in CMC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 32nd Annual Hawaii International Conference on System Sciences (HICSS-32), January 5-8, 1999, Maui, Hawaii, USA, 1999, IEEE Computer Society, 0-7695-0001-3. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
27 | Seungjoon Park, David L. Dill |
Verification of Cache Coherence Protocols by Aggregation of Distributed Transactions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Theory Comput. Syst. ![In: Theory Comput. Syst. 31(4), pp. 355-376, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
27 | Masafumi Takahashi, Hiroyuki Takano, Emi Kaneko, Seigo Suzuki |
A Shared-Bus Control Mechanism and a Cache Coherence Protocol for a High-Performance On-Chip Multiprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Second International Symposium on High-Performance Computer Architecture, San Jose, CA, USA, February 3-7, 1996, pp. 314-322, 1996, IEEE Computer Society, 0-8186-7237-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
27 | Ricardo Bianchini, Leonidas I. Kontothanassis, Raquel Pinto, M. De Maria, M. Abud, Claudio Luis de Amorim |
Hiding Communication Latency and Coherence Overhead in Software DSMs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-VII Proceedings - Seventh International Conference on Architectural Support for Programming Languages and Operating Systems, Cambridge, Massachusetts, USA, October 1-5, 1996., pp. 198-209, 1996, ACM Press, 0-89791-767-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
27 | Farnaz Mounes-Toussi, David J. Lilja |
The Potential of Compile-Time Analysis to Adapt the Cache Coherence Enforcement Strategy to the Data Sharing Characteristics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 6(5), pp. 470-481, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
27 | Mats Brorsson |
SM-prof: A Tool to Visualise and Find Cache Coherence Performance Bottlenecks in Multiprocessor Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 1995 ACM SIGMETRICS joint international conference on Measurement and modeling of computer systems, Ottawa, Canada, May 15-19, 1995, pp. 178-187, 1995, ACM, 0-89791-695-6. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
27 | Ilya Beylin, Peter Dybjer |
Extracting a Proof of Coherence for Monoidal Categories from a Proof of Normalization for Monoids. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TYPES ![In: Types for Proofs and Programs, International Workshop TYPES'95, Torino, Italy, June 5-8, 1995, Selected Papers, pp. 47-61, 1995, Springer, 3-540-61780-9. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
27 | Hui Li, Kenneth C. Sevcik |
Exploiting cache affinity in software cache coherence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Supercomputing ![In: Proceedings of the 8th international conference on Supercomputing, ICS 1994, Manchester, UK, July 11-15, 1994, pp. 264-273, 1994, ACM, 0-89791-665-4. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
27 | James K. Archibald |
A cache coherence approach for large multiprocessor systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 2nd international conference on Supercomputing, ICS 1988, Saint Malo, France, July 4-8, 1988, pp. 337-345, 1988, ACM, 0-89791-272-1. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
27 | Marcin Jan Schroeder |
Quantum Coherence without Quantum Mechanics in Modeling the Unity of Consciousness. ![Search on Bibsonomy](Pics/bibsonomy.png) |
QI ![In: Quantum Interaction, Third International Symposium, QI 2009, Saarbrücken, Germany, March 25-27, 2009. Proceedings, pp. 97-112, 2009, Springer, 978-3-642-00833-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Quantum coherence, Information integration processing, Closure space, Consciousness, Quantum logic |
27 | Michael D. Lieberman, Hanan Samet, Jagan Sankaranarayanan, Jon Sperling |
Spatio-textual spreadsheets: geotagging via spatial coherence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GIS ![In: 17th ACM SIGSPATIAL International Symposium on Advances in Geographic Information Systems, ACM-GIS 2009, November 4-6, 2009, Seattle, Washington, USA, Proceedings, pp. 524-527, 2009, ACM, 978-1-60558-649-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
spatio-textual, spreadsheets, geotagging, spatial coherence |
27 | Rania Hassen, Zhou Wang 0001, Magdy M. A. Salama |
Multifocus Image Fusion Using Local Phase Coherence Measurement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIAR ![In: Image Analysis and Recognition, 6th International Conference, ICIAR 2009, Halifax, Canada, July 6-8, 2009. Proceedings, pp. 54-63, 2009, Springer, 978-3-642-02610-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
local phase coherence, local energy, image fusion, complex wavelet transform |
27 | Norlaili Mat Safri, Siti Hajar Aminah Ali, Siti Zuraimi Salleh, Nobuki Murayama |
Modeling Information Pathway of Motor Control Using Coherence Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia International Conference on Modelling and Simulation ![In: Second Asia International Conference on Modelling and Simulation, AMS 2008, Kuala Lumpur, Malaysia, May 13-15, 2008, pp. 917-922, 2008, IEEE Computer Society, 978-0-7695-3136-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
FDI muscle, Visual stimuli, Coherence, Motor Control |
27 | Moongee Jeon, Roger Azevedo |
Automatic Analyses of Cohesion and Coherence in Human Tutorial Dialogues During Hypermedia: A Comparison among Mental Model Jumpers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Intelligent Tutoring Systems ![In: Intelligent Tutoring Systems, 9th International Conference, ITS 2008, Montreal, Canada, June 23-27, 2008, Proceedings, pp. 690-692, 2008, Springer, 978-3-540-69130-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Human Tutorial Dialogue, Human Tutoring, Learning, Hypermedia, Coherence, Cohesion |
27 | Rodger Kibble |
Generating Coherence Relations via Internal Argumentation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Log. Lang. Inf. ![In: J. Log. Lang. Inf. 16(4), pp. 387-402, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Coherence relations, Text planning, Generation, Argumentation, Dialogue |
27 | Paul Piwek |
Meaning and Dialogue Coherence: A Proof-theoretic Investigation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Log. Lang. Inf. ![In: J. Log. Lang. Inf. 16(4), pp. 403-421, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Multi-agent Inference, Proof-theoretic semantics, Coherence, Natural deduction, Dialogue modelling |
27 | Reen-Cheng Wang, Su-Ling Wu, Ruay-Shiung Chang |
A Novel Data Grid Coherence Protocol Using Pipeline-Based Aggressive Copy Method. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GPC ![In: Advances in Grid and Pervasive Computing, Second International Conference, GPC 2007, Paris, France, May 2-4, 2007, Proceedings, pp. 484-495, 2007, Springer, 978-3-540-72359-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Data Grid, Data Replication, Data Coherence |
27 | Jing Zhang 0063, Guojun Chen |
Exploiting Frame-to-Frame Coherence for Rendering Terrain Using Continuous LOD. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICAT ![In: Advances in Artificial Reality and Tele-Existence, 16th International Conference on Artificial Reality and Telexistence, ICAT 2006, Hangzhou, China, November 29 - December 1, 2006, Proceedings, pp. 695-704, 2006, Springer, 3-540-49776-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
rendering terrain, level of details (LOD), Multi-resolution, frame to frame coherence |
27 | Anders Adamson, Marc Alexa, Andrew Nealen |
Adaptive sampling of intersectable models exploiting image and object-space coherence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SI3D ![In: Proceedings of the 2005 Symposium on Interactive 3D Graphics, SI3D 2005, April 3-6, 2005, Washington, DC, USA, pp. 171-178, 2005, ACM, 1-59593-013-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
object-space coherence, adaptive sampling |
27 | Taeweon Suh, Daehyun Kim 0001, Hsien-Hsin S. Lee |
Cache coherence support for non-shared bus architecture on heterogeneous MPSoCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005, pp. 553-558, 2005, ACM, 1-59593-058-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
heterogeneous, cache coherence, MPSoC, real-time and embedded systems, inter-processor communication |
27 | Sarang Lakare, Arie E. Kaufman |
Light Weight Space Leaping using Ray Coherence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Visualization ![In: 15th IEEE Visualization Conference, IEEE Vis 2004, Austin, TX, USA, October 10-15, 2004, Proceedings, pp. 19-26, 2004, IEEE Computer Society, 0-7803-8788-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Empty Space Skipping, Ray Coherence, Volume Rendering Acceleration, Direct Volume Rendering, Space Leaping |
27 | Rajeev Joshi, Leslie Lamport, John Matthews, Serdar Tasiran, Mark R. Tuttle, Yuan Yu |
Checking Cache-Coherence Protocols with TLA+. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Formal Methods Syst. Des. ![In: Formal Methods Syst. Des. 22(2), pp. 125-131, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
TLC, model checking, cache coherence, TLA+ |
27 | Jun Pang 0001, Wan J. Fokkink, Rutger F. H. Hofman, Ronald Veldema |
Model Checking a Cache Coherence Protocol for a Java DSM Implementation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 22-26 April 2003, Nice, France, CD-ROM/Abstracts Proceedings, pp. 238, 2003, IEEE Computer Society, 0-7695-1926-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
µCRL, Java, model checking, distributed shared memory, cache coherence protocols |
27 | Philippe Pasquier, Brahim Chaib-draa |
The cognitive coherence approach for agent communication pragmatics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AAMAS ![In: The Second International Joint Conference on Autonomous Agents & Multiagent Systems, AAMAS 2003, July 14-18, 2003, Melbourne, Victoria, Australia, Proceedings, pp. 544-551, 2003, ACM, 1-58113-683-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
dialogue utility, dissonance, coherence, agent communication, pragmatic |
27 | Daniel J. Sorin, Manoj Plakal, Anne Condon, Mark D. Hill, Milo M. K. Martin, David A. Wood 0001 |
Specifying and Verifying a Broadcast and a Multicast Snooping Cache Coherence Protocol. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 13(6), pp. 556-578, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
multicast snooping, Cache coherence, protocol verification, protocol specification, memory consistency |
27 | Smaranda Muresan, Tudor Muresan, Rodica Potolea |
Data Flow Coherence Criteria in ILP Tools. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICTAI ![In: 13th IEEE International Conference on Tools with Artificial Intelligence, ICTAI 2001, Dallas, Texas, USA, November 7-9, 2001, pp. 179-186, 2001, IEEE Computer Society, 0-7695-1417-0. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Data Flow Coherence Criteria, Pruning the Search Space, Inductive Logic Programming, Automatic Program Generation |
27 | Inês de Castro Dutra, Vítor Santos Costa, Ricardo Bianchini |
The Impact of Cache Coherence Protocols on Parallel Logic Programming Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computational Logic ![In: Computational Logic - CL 2000, First International Conference, London, UK, 24-28 July, 2000, Proceedings, pp. 1285-1299, 2000, Springer, 3-540-67797-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
dsm architectures, performance evaluation, parallelism, logic programming, cache coherence protocols |
27 | Fong Pong, Michel Dubois 0001 |
Formal Verification of Complex Coherence Protocols Using Symbolic State Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. ACM ![In: J. ACM 45(4), pp. 557-587, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
state abstraction, state enumeration methods, formal methods, shared-memory multiprocessors, cache coherence protocols |
27 | Fong Pong, Michel Dubois 0001 |
Verification Techniques for Cache Coherence Protocols. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Comput. Surv. ![In: ACM Comput. Surv. 29(1), pp. 82-126, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
state representation and expansion, finite state machine, shared-memory multiprocessors, cache coherence, protocol verification |
27 | Jonas Skeppstedt |
Overcoming Limitations of Prefetching in Multiprocessors by Compiler-Initiated Coherence Actions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 1997 Conference on Parallel Architectures and Compilation Techniques (PACT '97), San Francisco, CA, USA, October 11-15, 1997, pp. 272-, 1997, IEEE Computer Society, 0-8186-8090-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
compiler-initiated coherence, CC-NUMA multiprocessor, compiler-controlled prefetching, read-stall time, write-latency, read-latency, memory access latency reduction, migratory sharing, parallel architectures, multiprocessors, prefetching, prefetch, compiler-analysis |
27 | Jerzy Brzezinski, Dariusz Wawrzyniak |
Multi-Criterion Coherence Protocol for Distributed Shared Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FTDCS ![In: 6th IEEE Workshop on Future Trends of Distributed Computer Systems (FTDCS '97), 29-31 October 1997, Tunis, Tunisia, Proceedings, pp. 202-207, 1997, IEEE Computer Society, 0-8186-8153-5. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
distributed shared memory, coherence protocols, consistency models |
27 | Jonas Skeppstedt, Per Stenström |
Using Dataflow Analysis Techniques to Reduce Ownership Overhead in Cache Coherence Protocols. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 18(6), pp. 659-682, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
performance evaluation, cache coherence, dataflow analysis |
27 | Fong Pong, Michel Dubois 0001 |
A New Approach for the Verification of Cache Coherence Protocols. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 6(8), pp. 773-787, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
symbolic expansion, formal verification, finite state machine, shared-memory multiprocessor, Cache coherence protocol |
27 | A. James Stewart, Sherif Ghali |
Fast computation of shadow boundaries using spatial coherence and backprojections. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGGRAPH ![In: Proceedings of the 21th Annual Conference on Computer Graphics and Interactive Techniques, SIGGRAPH 1994, Orlando, FL, USA, July 24-29, 1994, pp. 231-238, 1994, ACM, 0-89791-667-0. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
efficient surface enumeration, visual events, shadows, radiosity, aspect graphs, spatial coherence, discontinuity mesh, backprojections |
27 | Claude Girault, C. Chatelain, Serge Haddad |
Specification and properties of a cache coherence protocol model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
European Workshop on Applications and Theory of Petri Nets ![In: Advances in Petri Nets 1987, covers the 7th European Workshop on Applications and Theory of Petri Nets, Oxford, UK, June 1986, pp. 1-20, 1986, Springer, 3-540-18086-9. The full citation details ...](Pics/full.jpeg) |
1986 |
DBLP DOI BibTeX RDF |
verification, Petri nets, protocols, specification, multiprocessors, memory hierarchy, cache coherence |
24 | Animashree Anandkumar, Lang Tong, Ananthram Swami |
Distributed Estimation Via Random Access. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Inf. Theory ![In: IEEE Trans. Inf. Theory 54(7), pp. 3175-3181, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
24 | Peter J. Schreier |
Polarization Ellipse Analysis of Nonstationary Random Signals. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Signal Process. ![In: IEEE Trans. Signal Process. 56(9), pp. 4330-4339, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
24 | Alberto Ros 0001, Manuel E. Acacio, José M. García 0001 |
DiCo-CMP: Efficient cache coherency in tiled CMP architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 22nd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2008, Miami, Florida USA, April 14-18, 2008, pp. 1-11, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
24 | Tao Mei 0001, Yong Wang, Xian-Sheng Hua 0001, Shaogang Gong, Shipeng Li 0001 |
Coherent image annotation by learning semantic distance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CVPR ![In: 2008 IEEE Computer Society Conference on Computer Vision and Pattern Recognition (CVPR 2008), 24-26 June 2008, Anchorage, Alaska, USA, 2008, IEEE Computer Society, 978-1-4244-2242-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
24 | Siddharth Ray, Muriel Médard, Lizhong Zheng |
On Noncoherent MIMO Channels in the Wideband Regime: Capacity and Reliability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Inf. Theory ![In: IEEE Trans. Inf. Theory 53(6), pp. 1983-2009, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
24 | Shih-Shinh Huang, Li-Chen Fu, Pei-Yung Hsiao |
Region-Level Motion-Based Background Modeling and Subtraction Using MRFs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Image Process. ![In: IEEE Trans. Image Process. 16(5), pp. 1446-1456, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
24 | Karin Strauss, Xiaowei Shen, Josep Torrellas |
Uncorq: Unconstrained Snoop Request Delivery in Embedded-Ring Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-40 2007), 1-5 December 2007, Chicago, Illinois, USA, pp. 327-342, 2007, IEEE Computer Society, 0-7695-3047-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
24 | Agustín De Dios Hernández, Benjamín Sahelices Fernández, Pablo Ibáñez, Víctor Viñals, José M. Llabería |
Speeding-Up Synchronizations in DSM Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2006, Parallel Processing, 12th International Euro-Par Conference, Dresden, Germany, August 28 - September 1, 2006, Proceedings, pp. 473-484, 2006, Springer, 3-540-37783-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
24 | Syed Ali Jafar, Andrea J. Goldsmith |
Multiple-antenna capacity in correlated Rayleigh fading with channel covariance information. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Wirel. Commun. ![In: IEEE Trans. Wirel. Commun. 4(3), pp. 990-997, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
24 | Paul J. Gendron |
An empirical Bayes estimator for in-scale adaptive filtering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Signal Process. ![In: IEEE Trans. Signal Process. 53(5), pp. 1670-1683, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
24 | Milo M. K. Martin |
Formal Verification and its Impact on the Snooping versus Directory Protocol Debate. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 23rd International Conference on Computer Design (ICCD 2005), 2-5 October 2005, San Jose, CA, USA, pp. 543-449, 2005, IEEE Computer Society, 0-7695-2451-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
24 | Seyed A. Zekavat, Carl R. Nassar |
Transmit diversity via oscillating-beam-pattern adaptive antennas: an evaluation using geometric-based stochastic circular-scenario channel modeling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Wirel. Commun. ![In: IEEE Trans. Wirel. Commun. 3(4), pp. 1134-1141, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
24 | Ignacio E. Zaldívar-Huerta, Jorge Rodríguez-Asomoza |
Electro-Optic E-field Sensor Using an Optical Modulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CONIELECOMP ![In: 14th International Conference on Electronics, Communications, and Computers (CONIELECOMP 2004), 16-18 February 2004, Veracruz, Mexico, pp. 220-222, 2004, IEEE Computer Society, 0-7695-2074-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
24 | Shaz Qadeer |
Verifying Sequential Consistency on Shared-Memory Multiprocessors by Model Checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 14(8), pp. 730-741, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
specifying and verifying and reasoning about programs, model checking, verification, multiprocessors, Logic design |
24 | Alberto Porta, Raffaello Furlan, Ornella Rimoldi, Massimo Pagani, Alberto Malliani, Philippe van de Borne |
Quantifying the strength of the linear causal coupling in closed loop interacting cardiovascular variability signals. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Biol. Cybern. ![In: Biol. Cybern. 86(3), pp. 241-251, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
24 | J. Ross Beveridge, Charlie Ross, L. Darrell Whitley, Barry Fish |
Augmented geophysical data interpretation through automated velocity picking in semblance velocity images. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mach. Vis. Appl. ![In: Mach. Vis. Appl. 13(3), pp. 141-148, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
24 | Salvador Petit, Julio Sahuquillo, Ana Pont |
Characterizing Parallel Workloads to Reduce Multiple Writer Overhead in Shared Virtual Memory Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 10th Euromicro Workshop on Parallel, Distributed and Network-Based Processing (PDP 2002), 9-11 January 2002, Canary Islands, Spain, pp. 261-268, 2002, IEEE Computer Society, 0-7695-1444-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
shared virtual memory systems, memory consistency protocols, workload characterization |
24 | Jiong Yang 0001, Wei Wang 0010, Haixun Wang, Philip S. Yu |
delta-Clusters: Capturing Subspace Correlation in a Large Data Set. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDE ![In: Proceedings of the 18th International Conference on Data Engineering, San Jose, CA, USA, February 26 - March 1, 2002, pp. 517-528, 2002, IEEE Computer Society, 0-7695-1531-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Incomplete Data Analysis, Clustering, Collaborative Filtering, Bio-informatics, Microarray Analysis |
24 | Daniel J. Sorin, Milo M. K. Martin, Mark D. Hill, David A. Wood 0001 |
SafetyNet: Improving the Availability of Shared Memory Multiprocessors with Global Checkpoint/Recovery. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 29th International Symposium on Computer Architecture (ISCA 2002), 25-29 May 2002, Anchorage, AK, USA, pp. 123-134, 2002, IEEE Computer Society, 0-7695-1605-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
multiprocessor, availability, shared memory |
24 | Marcio G. Silva, Inês de Castro Dutra, Ricardo Bianchini, Vítor Santos Costa |
The Influence of Architectural Parameters on the Performance of Parallel Logic Programming Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PADL ![In: Practical Aspects of Declarative Languages, First International Workshop, PADL '99, San Antonio, Texas, USA, January 18-19, 1999, Proceedings, pp. 122-136, 1999, Springer, 3-540-65527-1. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
24 | Sandhya Dwarkadas, Kourosh Gharachorloo, Leonidas I. Kontothanassis, Daniel J. Scales, Michael L. Scott, Robert Stets |
Comparative Evaluation of Fine- and Coarse-Grain Approaches for Software Distributed Shared Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Fifth International Symposium on High-Performance Computer Architecture, Orlando, FL, USA, January 9-12, 1999, pp. 260-269, 1999, IEEE Computer Society, 0-7695-0004-8. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
24 | An-Chow Lai, Babak Falsafi |
Memory Sharing Predictor: The Key to a Speculative Coherent DSM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 26th Annual International Symposium on Computer Architecture, ISCA 1999, Atlanta, Georgia, USA, May 2-4, 1999, pp. 172-183, 1999, IEEE Computer Society, 0-7695-0170-2. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
24 | Masaru Takesue |
Schemes for Reducing Communication Latency in Regular Computations on DSM Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 1998 International Conference on Parallel Processing (ICPP '98), 10-14 August 1998, Minneapolis, Minnesota, USA, Proceedings, pp. 164-171, 1998, IEEE Computer Society, 0-8186-8650-2. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
24 | Margaret Martonosi, David Ofelt, Mark A. Heinrich |
Integrating Performance Monitoring and Communication in Parallel Computers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 1996 ACM SIGMETRICS international conference on Measurement and modeling of computer systems, Philadelphia, Pennsylvania, USA, May 23-26, 1996, pp. 138-147, 1996, ACM, 0-89791-793-6. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
24 | Daniel J. Scales, Kourosh Gharachorloo, Chandramohan A. Thekkath |
Shasta: A Low Overhead, Software-Only Approach for Supporting Fine-Grain Shared Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-VII Proceedings - Seventh International Conference on Architectural Support for Programming Languages and Operating Systems, Cambridge, Massachusetts, USA, October 1-5, 1996., pp. 174-185, 1996, ACM Press, 0-89791-767-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
24 | Robert Cooper |
Experience with Causally and Totally Ordered Communication Support, A cautionary tale. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGOPS Oper. Syst. Rev. ![In: ACM SIGOPS Oper. Syst. Rev. 28(1), pp. 28-31, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
24 | Rohit Chandra, Kourosh Gharachorloo, Vijayaraghavan Soundararajan, Anoop Gupta |
Performance evaluation of hybrid hardware and software distributed shared memory protocols. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Supercomputing ![In: Proceedings of the 8th international conference on Supercomputing, ICS 1994, Manchester, UK, July 11-15, 1994, pp. 274-288, 1994, ACM, 0-89791-665-4. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
24 | Harjinder S. Sandhu |
Integrating applications with cache and memory management on a shared-memory multiprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASCON ![In: Proceedings of the 1992 Conference of the Centre for Advanced Studies on Collaborative Research, November 9-12, 1992, Toronto, Ontario, Canada, 2 Volumes, pp. 382-393, 1992, IBM. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP BibTeX RDF |
|
24 | Guang Qiu, Feng Zhang, Jiajun Bu, Chun Chen 0001 |
Domain Specific Opinion Retrieval. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AIRS ![In: Information Retrieval Technology, 5th Asia Information Retrieval Symposium, AIRS 2009, Sapporo, Japan, October 21-23, 2009. Proceedings, pp. 318-329, 2009, Springer, 978-3-642-04768-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Domain Coherence, Opinion Model, Opinion Similarity, Opinion Retrieval |
24 | Fanjie Meng, Xiangwei Kong, Xingang You |
A New Feature-Based Method for Source Camera Identification. (PDF / PS) ![Search on Bibsonomy](Pics/bibsonomy.png) |
IFIP Int. Conf. Digital Forensics ![In: Advances in Digital Forensics IV, Fourth Annual IFIP WG 11.9 Conference on Digital Forensics, Kyoto University, Kyoto, Japan, January 28-30, 2008, pp. 207-218, 2008, Springer, 978-0-387-84926-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Source camera identification, bi-coherence, wavelet coefficients |
24 | Robert Kunz, Mark Horowitz |
The case for simple, visible cache coherency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MSPC ![In: Proceedings of the 2008 ACM SIGPLAN workshop on Memory Systems Performance and Correctness: held in conjunction with the Thirteenth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS '08), Seattle, Washington, USA, March 2, 2008, pp. 31-35, 2008, ACM, 978-1-60558-049-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
SpecOMP2001, shared-memory multiprocessor, FLASH, coherence protocol, cc-NUMA, software optimization, performance bottlenecks |
24 | Lisa Higham, LillAnne Jackson, Jalal Kawash |
Specifying memory consistency of write buffer multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 25(1), pp. 1, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Memory consistency framework, partial store order, relaxed memory order, sparc multiprocessors, total store order, write-buffer architectures, coherence, sequential consistency, alpha |
24 | Chenjie Yu, Peter Petrov |
Aggressive snoop reduction for synchronized producer-consumer communication in energy-efficient embedded multi-processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2007, Salzburg, Austria, September 30 - October 3, 2007, pp. 245-250, 2007, ACM, 978-1-59593-824-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
low-power multiprocessor system, embedded systems, cache coherence |
24 | Yi Liu 0054, Rong Jin 0001, Joyce Y. Chai |
A statistical framework for query translation disambiguation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Asian Lang. Inf. Process. ![In: ACM Trans. Asian Lang. Inf. Process. 5(4), pp. 360-387, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
co-occurrence statistics, maximum coherence, graph partitioning, cross-language information retrieval |
24 | Sung-Eui Yoon, Christian Lauterbach, Dinesh Manocha |
R-LODs: fast LOD-based ray tracing of massive models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Vis. Comput. ![In: Vis. Comput. 22(9-11), pp. 772-784, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Massive models, LODs, Ray tracing, Layouts, Cache coherence, kd-trees |
24 | Debmalya Biswas, K. Vidyasankar |
Modeling Visibility in Hierarchical Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ER ![In: Conceptual Modeling - ER 2006, 25th International Conference on Conceptual Modeling, Tucson, AZ, USA, November 6-9, 2006, Proceedings, pp. 155-167, 2006, Springer, 3-540-47224-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Noticeability, Hierarchical Web Services Compositions, Correlation, Visibility, Coherence, Hierarchical Systems |
24 | Ning Han, Sung Hwan Shon, Jong Ok Joo, Jae Moung Kim |
Spectrum Sensing Method for Increasing the Spectrum Efficiency in Wireless Sensor Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
UCS ![In: Ubiquitous Computing Systems, Third International Symposium, UCS 2006, Seoul, Korea, October 11-13, 2006, Proceedings, pp. 478-488, 2006, Springer, 3-540-46287-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
energy detection, spectral correlation, spectral coherence function, wireless sensor network, spectrum sensing |
Displaying result #201 - #300 of 7434 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ >>] |
|