The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DRAM with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1983-1992 (15) 1993-1994 (30) 1995 (15) 1996 (21) 1997 (33) 1998 (31) 1999 (53) 2000 (55) 2001 (52) 2002 (45) 2003 (50) 2004 (35) 2005 (50) 2006 (56) 2007 (58) 2008 (66) 2009 (75) 2010 (58) 2011 (61) 2012 (77) 2013 (68) 2014 (94) 2015 (119) 2016 (124) 2017 (131) 2018 (126) 2019 (136) 2020 (96) 2021 (123) 2022 (122) 2023 (134) 2024 (37)
Publication types (Num. hits)
article(827) book(1) data(1) inproceedings(1400) phdthesis(17)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 700 occurrences of 376 keywords

Results
Found 2246 publication records. Showing 2246 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
25JaeHwa Jeon, Jae-Youn Hong, Sunghoon Kim, Insu Choi, Joon-Sung Yang PIE-DRAM: Postponing IECC to Enhance DRAM performance with access table. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
25Ataberk Olgun, F. Nisa Bostanci, Geraldo F. Oliveira, Yahya Can Tugrul, Rahul Bera, Abdullah Giray Yaglikçi, Hasan Hassan, Oguz Ergin, Onur Mutlu Sectored DRAM: An Energy-Efficient High-Throughput and Practical Fine-Grained DRAM Architecture. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Ataberk Olgun, Hasan Hassan, Abdullah Giray Yaglikçi, Yahya Can Tugrul, Lois Orosa 0001, Haocong Luo, Minesh Patel, Oguz Ergin, Onur Mutlu DRAM Bender: An Extensible and Versatile FPGA-based Infrastructure to Easily Test State-of-the-art DRAM Chips. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Hasan Hassan, Ataberk Olgun, Abdullah Giray Yaglikçi, Haocong Luo, Onur Mutlu A Case for Self-Managing DRAM Chips: Improving Performance, Efficiency, Reliability, and Security via Autonomous in-DRAM Maintenance Operations. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Stijn Eyerman, Wim Heirman, Ibrahim Hur DRAM Bandwidth and Latency Stacks: Visualizing DRAM Bottlenecks. Search on Bibsonomy ISPASS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Anandpreet Kaur, Pravin Srivastav, Bibhas Ghoshal Work-in-Progress: DRAM-MaUT: DRAM Address Mapping Unveiling Tool for ARM Devices. Search on Bibsonomy CASES The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Nezam Rohbani, Mohammad Arman Soleimani, Hamid Sarbazi-Azad PIPF-DRAM: processing in precharge-free DRAM. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Loïc France Development and evaluation of solutions for the protection of DRAM and MRAM memories against Rowhammer attacks. (Développement et évaluation de solutions de protections des DRAM et MRAM contre l'attaque Rowhammer). Search on Bibsonomy 2022   RDF
25Hasan Hassan Improving DRAM Performance, Reliability, and Security by Rigorously Understanding Intrinsic DRAM Operation. Search on Bibsonomy 2022   RDF
25Sourjya Roy, Mustafa Fayez Ali, Anand Raghunathan PIM-DRAM: Accelerating Machine Learning Workloads using Processing in Commodity DRAM. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
25Jeremie S. Kim Improving DRAM Performance, Security, and Reliability by Understanding and Exploiting DRAM Timing Parameter Margins. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
25Sourjya Roy, Mustafa Fayez Ali, Anand Raghunathan PIM-DRAM: Accelerating Machine Learning Workloads Using Processing in Commodity DRAM. Search on Bibsonomy IEEE J. Emerg. Sel. Topics Circuits Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
25Seunghak Lee, Ki-Dong Kang, Hwanjun Lee, Hyungwon Park, Young Hoon Son, Nam Sung Kim, Daehoon Kim GreenDIMM: OS-assisted DRAM Power Management for DRAM with a Sub-array Granularity Power-Down State. Search on Bibsonomy MICRO The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
25Nezam Rohbani, Sina Darabi, Hamid Sarbazi-Azad PF-DRAM: A Precharge-Free DRAM Structure. Search on Bibsonomy ISCA The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
25Chuxiong Lin, Weifeng He, Yanan Sun 0003, Zhigang Mao, Mingoo Seok CDAR-DRAM: An In-situ Charge Detection and Adaptive Data Restoration DRAM Architecture for Performance and Energy Efficiency Improvement. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
25Minesh Patel, Jeremie S. Kim, Taha Shahroodi, Hasan Hassan, Onur Mutlu Bit-Exact ECC Recovery (BEER): Determining DRAM On-Die ECC Functions by Exploiting DRAM Data Retention Characteristics. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
25Haocong Luo, Taha Shahroodi, Hasan Hassan, Minesh Patel, Abdullah Giray Yaglikçi, Lois Orosa 0001, Jisung Park 0001, Onur Mutlu CLR-DRAM: A Low-Cost DRAM Architecture Enabling Dynamic Capacity-Latency Trade-Off. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
25Minesh Patel, Jeremie S. Kim, Taha Shahroodi, Hasan Hassan, Onur Mutlu Bit-Exact ECC Recovery (BEER): Determining DRAM On-Die ECC Functions by Exploiting DRAM Data Retention Characteristics. Search on Bibsonomy MICRO The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Éder F. Zulian, Christian Weis, Norbert Wehn Access-Aware Per-Bank DRAM Refresh for Reduced DRAM Refresh Overhead. Search on Bibsonomy ISCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Haocong Luo, Taha Shahroodi, Hasan Hassan, Minesh Patel, Abdullah Giray Yaglikçi, Lois Orosa 0001, Jisung Park 0001, Onur Mutlu CLR-DRAM: A Low-Cost DRAM Architecture Enabling Dynamic Capacity-Latency Trade-Off. Search on Bibsonomy ISCA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Jeremie S. Kim Improving DRAM Performance, Security, and Reliability by Understanding and Exploiting DRAM Timing Parameter Margins. Search on Bibsonomy 2020   DOI  RDF
25Jeremie S. Kim, Minesh Patel, Hasan Hassan, Lois Orosa 0001, Onur Mutlu D-RaNGe: Violating DRAM Timing Constraints for High-Throughput True Random Number Generation using Commodity DRAM Devices. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
25Donghyuk Lee, Yoongu Kim, Gennady Pekhimenko, Samira Manabi Khan, Vivek Seshadri, Kevin K. Chang, Onur Mutlu Adaptive-Latency DRAM: Reducing DRAM Latency by Exploiting Timing Margins. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
25Kevin K. Chang, Abhijith Kashyap, Hasan Hassan, Saugata Ghose, Kevin Hsieh, Donghyuk Lee, Tianshi Li 0001, Gennady Pekhimenko, Samira Manabi Khan, Onur Mutlu Flexible-Latency DRAM: Understanding and Exploiting Latency Variation in Modern DRAM Chips. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
25Jeremie S. Kim, Minesh Patel, Hasan Hassan, Onur Mutlu The DRAM Latency PUF: Quickly Evaluating Physical Unclonable Functions by Exploiting the Latency-Reliability Tradeoff in Modern Commodity DRAM Devices. Search on Bibsonomy HPCA The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Jeremie S. Kim, Minesh Patel, Hasan Hassan, Onur Mutlu Solar-DRAM: Reducing DRAM Access Latency by Exploiting the Variation in Local Bitlines. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Lavanya Subramanian, Kaushik Vaidyanathan, Anant Nori, Sreenivas Subramoney, Tanay Karnik, Hong Wang Closed yet open DRAM: achieving low latency and high performance in DRAM memory systems. Search on Bibsonomy DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Anup Das 0001, Hasan Hassan, Onur Mutlu VRL-DRAM: improving DRAM performance via variable refresh latency. Search on Bibsonomy DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Yuhwan Ro, Min Chul Sung, Yongjun Park 0001, Jung Ho Ahn Selective DRAM cache bypassing for improving bandwidth on DRAM/NVM hybrid main memory systems. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Yong Ye, Yuan Du, Weiliang Jing, Xiaoyun Li, Zhitang Song, Bomy Chen Erratum: CAM-based retention-aware DRAM (CRA-DRAM) for refresh power reduction [IEICE Electronics Express Vol. 14 (2017) No. 10 pp. 20170053]. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Yong Ye, Yuan Du, Weiliang Jing, Xiaoyun Li, Zhitang Song, Bomy Chen CAM-based retention-aware DRAM (CRA-DRAM) for refresh power reduction. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Mike O'Connor, Niladrish Chatterjee, Donghyuk Lee, John M. Wilson 0002, Aditya Agrawal, Stephen W. Keckler, William J. Dally Fine-grained DRAM: energy-efficient DRAM for extreme bandwidth systems. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Takefumi Yoshikawa, Seung-Jun Bae, Leland Chang Session 23 overview: DRAM, MRAM & DRAM interfaces. Search on Bibsonomy ISSCC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Rajat Kateja, Anirudh Badam, Sriram Govindan, Bikash Sharma, Greg Ganger Viyojit: Decoupling Battery and DRAM Capacities for Battery-Backed DRAM. Search on Bibsonomy ISCA The full citation details ... 2017 DBLP  BibTeX  RDF
25Wongyu Shin, Jungwhan Choi, Jaemin Jang, Jinwoong Suh, Yongkee Kwon, Youngsuk Moon, Hongsik Kim, Lee-Sup Kim Q-DRAM: Quick-Access DRAM with Decoupled Restoring from Row-Activation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Donghyuk Lee, Yoongu Kim, Vivek Seshadri, Jamie Liu, Lavanya Subramanian, Onur Mutlu Tiered-Latency DRAM (TL-DRAM). Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
25Donghyuk Lee, Samira Manabi Khan, Lavanya Subramanian, Rachata Ausavarungnirun, Gennady Pekhimenko, Vivek Seshadri, Saugata Ghose, Onur Mutlu Reducing DRAM Latency by Exploiting Design-Induced Latency Variation in Modern DRAM Chips. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
25Donghyuk Lee, Yoongu Kim, Gennady Pekhimenko, Samira Manabi Khan, Vivek Seshadri, Kevin Kai-Wei Chang, Onur Mutlu Adaptive-Latency DRAM (AL-DRAM). Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
25Hasan Hassan Reducing DRAM Access Latency by Exploiting DRAM Leakage Characteristics and Common Access Patterns. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
25Cheng-Chieh Huang, Vijay Nagarajan, Arpit Joshi DCA: a DRAM-cache-aware DRAM controller. Search on Bibsonomy SC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Minjie Lv, Hongbin Sun 0001, Qiwei Ren, Bing Yu, Jingmin Xin, Nanning Zheng 0001 Logic-DRAM Co-Design to Exploit the Efficient Repair Technique for Stacked DRAM. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Kang Wook Lee 0002, Ji Chel Bea, Mariappan Murugesan, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi Impacts of 3-D integration processes on device reliabilities in thinned DRAM chip for 3-D DRAM. Search on Bibsonomy IRPS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Michael Schaffner, Frank K. Gürkaynak, Aljoscha Smolic, Luca Benini DRAM or no-DRAM?: exploring linear solver architectures for image domain warping in 28 nm CMOS. Search on Bibsonomy DATE The full citation details ... 2015 DBLP  BibTeX  RDF
25Vivek Seshadri, Thomas Mullins, Amirali Boroumand, Onur Mutlu, Phillip B. Gibbons, Michael A. Kozuch, Todd C. Mowry Gather-scatter DRAM: in-DRAM address translation to improve the spatial locality of non-unit strided accesses. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Donghyuk Lee, Yoongu Kim, Gennady Pekhimenko, Samira Manabi Khan, Vivek Seshadri, Kevin Kai-Wei Chang, Onur Mutlu Adaptive-latency DRAM: Optimizing DRAM timing for the common-case. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Amin Farmahini Farahani, Jung Ho Ahn, Katherine Morrow, Nam Sung Kim NDA: Near-DRAM acceleration architecture leveraging commodity DRAM devices and standard memory modules. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Minjie Lv, Hongbin Sun 0001, Jingmin Xin, Nanning Zheng 0001 Logic-DRAM co-design to efficiently repair stacked DRAM with unused spares. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Leonardo Ecco, Rolf Ernst Improved DRAM Timing Bounds for Real-Time DRAM Controllers with Read/Write Bundling. Search on Bibsonomy RTSS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Jungwhan Choi, Wongyu Shin, Jaemin Jang, Jinwoong Suh, Yongkee Kwon, Youngsuk Moon, Lee-Sup Kim Multiple clone row DRAM: a low latency and area optimized DRAM. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Ying Wang 0001, Yinhe Han 0001, Cheng Wang, Huawei Li 0001, Xiaowei Li 0001 RADAR: a case for retention-aware DRAM assembly and repair in future FGR DRAM memory. Search on Bibsonomy DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Tao Zhang 0032, Ke Chen 0020, Cong Xu, Guangyu Sun 0003, Tao Wang 0004, Yuan Xie 0001 Half-DRAM: A high-bandwidth and low-power DRAM architecture from the rethinking of fine-grained activation. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
25Donghyuk Lee, Yoongu Kim, Vivek Seshadri, Jamie Liu, Lavanya Subramanian, Onur Mutlu Tiered-latency DRAM: A low latency and low cost DRAM architecture. Search on Bibsonomy HPCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
25Yebin Lee, Soontae Kim, Seokin Hong, Jongmin Lee 0002 Skinflint DRAM system: Minimizing DRAM chip writes for low power. Search on Bibsonomy HPCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
25Le-Nguyen Tran, Fadi J. Kurdahi, Ahmed M. Eltawil, Houman Homayoun Heterogeneous memory management for 3D-DRAM and external DRAM with QoS. Search on Bibsonomy ASP-DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
25Yuto Norifusa, Tetsuo Endoh Evaluation of Performance in Vertical 1T-DRAM and Planar 1T-DRAM. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
25Niladrish Chatterjee, Naveen Muralimanohar, Rajeev Balasubramonian, Al Davis, Norman P. Jouppi Staged Reads: Mitigating the impact of DRAM writes on DRAM reads. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
25Gil Sung Lee, Doo-Hyun Kim, Seongjae Cho, Byung-Gook Park A New 1T DRAM Cell: Cone Type 1T DRAM Cell. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
25Hyung Gyu Lee, Seungcheol Baek, Chrysostomos Nicopoulos, Jongman Kim An energy- and performance-aware DRAM cache architecture for hybrid DRAM/PCM main memory systems. Search on Bibsonomy ICCD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
25Tao Zhang 0032, Kui Wang, Yi Feng 0003, Xiaodi Song, Lian Duan, Yuan Xie 0001, Xu Cheng 0001, Youn-Long Lin A customized design of DRAM controller for on-chip 3D DRAM stacking. Search on Bibsonomy CICC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
25Qi Wu 0006, Kenneth Rose, Jian-Qiang Lu, Tong Zhang 0002 Impacts of though-DRAM vias in 3D processor-DRAM integrated systems. Search on Bibsonomy 3DIC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Richard C. Foss, J. Wu, J. Benzreba, G. Valcourt, P. Vlasenko, Y. Wang, Peter Gillingham Re-inventing the DRAM for embedded use: a compiled, wide-databus DRAM macrocell with high bandwidth and low power. Search on Bibsonomy CICC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
25Hideto Hidaka, Yoshio Matsuda, Mikio Asakura, Kazuyasu Fujishima The cache DRAM architecture: a DRAM with an on-chip cache memory. Search on Bibsonomy IEEE Micro The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
22Yiorgos Sfikas, Yiorgos Tsiatouhas Physical design oriented DRAM Neighborhood Pattern Sensitive Fault testing. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Gaurav Dhiman, Raid Zuhair Ayoub, Tajana Rosing PDRAM: a hybrid PRAM and DRAM main memory system. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF energy efficiency, memory management, phase change memory
22Amit Hadke, Tony Benavides, Rajeevan Amirtharajah, Matthew K. Farrens, Venkatesh Akella Design and evaluation of an optical CPU-DRAM interconnect. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Christopher Batten, Ajay Joshi, Jason Orcutt, Anatoly Khilo, Benjamin Moss, Charles Holzwarth, Milos A. Popovic, Hanqing Li, Henry I. Smith, Judy L. Hoyt, Franz X. Kärtner, Rajeev J. Ram, Vladimir Stojanovic, Krste Asanovic Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Hongqi Hu, Jiadong Xu, Zhemin Duan, Jingnan Sun High Efficiency Synchronous DRAM Controller for H.264 HDTV Encoder. Search on Bibsonomy SiPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22David Gregg, Colm McSweeney, Ciarán McElroy, Fergal Connor, Séamas McGettrick, David Moloney, Dermot Geraghty FPGA based Sparse Matrix Vector Multiplication using Commodity DRAM Memory. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Zaid Al-Ars, Said Hamdioui, Ad J. van de Goor Space of DRAM fault models and corresponding testing. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Jörg E. Vollrath, Jürg Schwizer, Marcin Gnat, Ralf Schneider, Bret Johnson DDR2 DRAM Output Timing Optimization. Search on Bibsonomy MTDT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Thomas Janik, Eric Liau, Harald Lorenz, Manfred Menke, Eckehard Plaettner, Joerg Schweden, Helmut Seitz, Esther Vega-Ordonez A 1.8V p(seudo)SRAM using standard 140nm DRAM technology with self adapting clocked standby operation. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Yoonseo Choi, Taewhan Kim, Hwansoo Han Memory layout techniques for variables utilizing efficient DRAM access modes in embedded system design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Hai Huang 0002, Kang G. Shin, Charles Lefurgy, Tom W. Keller Improving energy efficiency by making DRAM less randomly accessed. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF DDR, low power, memory system
22John C. Koob, Sue Ann Ung, Ashwin S. Rao, Daniel A. Leder, Craig S. Joly, Kristopher C. Breen, Tyler L. Brandon, Michael Hume, Bruce F. Cockburn, Duncan G. Elliott Test and Characterization of a Variable-Capacity Multilevel DRAM. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Osamu Wada, Toshimasa Namekawa, Hiroshi Ito, Atsushi Nakayama, Shuso Fujii Post-Packaging Auto Repair Techniques for Fast Row Cycle Embedded DRAM. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Zaid Al-Ars, Ad J. van de Goor, Jens Braun, Detlev Richter Optimizing Stresses for Testing DRAM Cell Defects Using Electrical Simulation. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF memory testing, stresses, defect simulation, test optimization
22Bruce F. Cockburn, Jesús Hernández Tapia, Duncan G. Elliott A Multilevel DRAM with Hierarchical Bitlines and Serial Sensing. Search on Bibsonomy MTDT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Yoonseo Choi, Taewhan Kim Memory layout techniques for variables utilizing efficient DRAM access modes in embedded system design. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF page/burst modes, embedded system, memory layout, storage assignment
22Michael Redeker, Bruce F. Cockburn, Duncan G. Elliott, Yunan Xiang, Sue Ann Ung Fault Modeling and Pattern-Sensitivity Testing for a Multilevel DRAM. Search on Bibsonomy MTDT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Zaid Al-Ars, Ad J. van de Goor Approximating Infinite Dynamic Behavior for DRAM Cell Defects. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF infinite dynamic faults, memory testing, DRAMs, functional fault models, defect simulation
22Yong-Ha Park, Seon-Ho Han, Hoi-Jun Yoo Single chip 3D rendering engine integrating embedded DRAM frame buffer and Hierarchical Octet Tree (HOT) array processor with bandwidth amplification. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22G. Harling A DRAM Compiler for Fully Optimized Memory Instances. Search on Bibsonomy MTDT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Masafumi Takahashi, Tsuyoshi Nishikawa, Hideho Arakida, Tohru Furuyama A Single-Chip Low-Power Mpeg-4 Audiovisual Lsi Using Embedded Dram Technology. Search on Bibsonomy ICME The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Seung-Moon Yoo, Chulwoo Kim, Seong-Ook Jung, Kwang-Hyun Baek, Sung-Mo Kang New current-mode sense amplifiers for high density DRAM and PIM architectures. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Amir Kazéminéjad, Eric Belhaire Fast, Minimal Decoding Complexity, System Level, Binary Systematic (41, 32) Single-Error-Correcting Codes for On-Chip DRAM Applications. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Extended Hamming codes, Error correcting codes, Hamming codes
22Klaus Herrmann 0002, Sören Moch, Jörg Hilgenstock, Peter Pirsch Implementation of a Multiprocessor System with Distributed Embedded DRAM on a Large Area Integrated Circuit. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Norman Margolus An embedded DRAM architecture for large-scale spatial-lattice computations. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF lattice gas, cellular automata, PIM, virtual processor
22Ad J. van de Goor, J. de Neef Industrial Evaluation of DRAM Tests. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Benjamin J. C. Yuan, Wang-Yiu Yuen The Analysis of Competitive Factors of Integrated Circuit Industry in Taiwan - A Case Study of DRAM (Dynamic Random Access Memory). Search on Bibsonomy HICSS (3) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Jingtong Hu, Chun Jason Xue, Wei-Che Tseng, Yi He 0001, Meikang Qiu, Edwin Hsing-Mean Sha Reducing write activities on non-volatile memories in embedded CMPs via data migration and recomputation. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF data recomputation, CMP, flash memory, data migration, phase change memory, SPM, non-volatile memory
19Nghi Nguyen, Angel Dominguez, Rajeev Barua Memory allocation for embedded systems with a compile-time-unknown scratch-pad size. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF data linked list, downloadable codes, embedded loading, embedded systems, compiler, Memory allocation, scratch-pad
19J. Alex Halderman, Seth D. Schoen, Nadia Heninger, William Clarkson, William Paul, Joseph A. Calandrino, Ariel J. Feldman, Jacob Appelbaum, Edward W. Felten Lest we remember: cold-boot attacks on encryption keys. Search on Bibsonomy Commun. ACM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Niti Madan, Li Zhao 0002, Naveen Muralimanohar, Aniruddha N. Udipi, Rajeev Balasubramonian, Ravishankar R. Iyer 0001, Srihari Makineni, Donald Newell Optimizing communication and capacity in a 3D stacked reconfigurable cache hierarchy. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Jeremy Condit, Edmund B. Nightingale, Christopher Frost 0001, Engin Ipek, Benjamin C. Lee, Doug Burger, Derrick Coetzee Better I/O through byte-addressable, persistent memory. Search on Bibsonomy SOSP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF performance, file systems, phase change memory
19Doe Hyun Yoon, Mattan Erez Flexible cache error protection using an ECC FIFO. Search on Bibsonomy SC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reliability, error correction, soft error, last-level caches
19Lee Garber News Briefs. Search on Bibsonomy Computer The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Jelena Trajkovic, Alexander V. Veidenbaum, Arun Kejariwal Improving SDRAM access energy efficiency for low-power embedded systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF embedded processors and low power, fetch buffer, write-combining buffer, SDRAM
19Taeho Kgil, Ali G. Saidi, Nathan L. Binkert, Steven K. Reinhardt, Krisztián Flautner, Trevor N. Mudge PicoServer: Using 3D stacking technology to build energy efficient servers. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF 3D stacking technology, Tier-1/2/3 server, Low power, chip multiprocessor, full-system simulation
19Tsu-Ming Liu, Chen-Yi Lee Design of an H.264/AVC Decoder with Memory Hierarchy and Line-Pixel-Lookahead. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF prediction, memory hierarchy, H.264/AVC, lookahead
19Dong Ye 0004, Aravind Pavuluri, Carl A. Waldspurger, Brian Tsang, Bohuslav Rychlik, Steven Woo Prototyping a hybrid main memory using a virtual machine monitor. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 2246 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license