The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "LCTES"( http://dblp.L3S.de/Venues/LCTES )

URL (DBLP): http://dblp.uni-trier.de/db/conf/lctrts

Publication years (Num. hits)
1998 (22) 1999-2000 (18) 2001-2003 (31) 2004 (29) 2005 (26) 2006 (23) 2007 (34) 2008 (18) 2009 (19) 2010 (19) 2011 (18) 2012 (17) 2013 (19) 2014 (19) 2015 (15) 2016-2017 (26) 2018-2019 (36) 2020 (18) 2021 (16) 2022 (16) 2023 (16)
Publication types (Num. hits)
inproceedings(431) proceedings(24)
Venues (Conferences, Journals, ...)
LCTES(455)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 629 occurrences of 372 keywords

Results
Found 455 publication records. Showing 455 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Abdoulaye Gamatié, Laure Gonnord Static analysis of synchronous programs in signal for efficient design of multi-clocked embedded systems. Search on Bibsonomy LCTES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Abhik Sarkar, Frank Mueller 0001, Harini Ramaprasad Predictable task migration for locked caches in multi-core systems. Search on Bibsonomy LCTES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Suman Saha 0002, Julia Lawall, Gilles Muller An approach to improving the structure of error-handling code in the linux kernel. Search on Bibsonomy LCTES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Albert Benveniste, Timothy Bourke, Benoît Caillaud, Marc Pouzet Divide and recycle: types and compilation for a hybrid synchronous language. Search on Bibsonomy LCTES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ian Gray, Neil C. Audsley Targeting complex embedded architectures by combining the multicore communications API (mcapi) with compile-time virtualisation. Search on Bibsonomy LCTES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Choonki Jang, Jungwon Kim, Jaejin Lee, Hee-Seok Kim, Donghoon Yoo, Sukjin Kim, Hongseok Kim, Soojung Ryu An instruction-scheduling-aware data partitioning technique for coarse-grained reconfigurable architectures. Search on Bibsonomy LCTES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Sudipta Chattopadhyay 0001, Abhik Roychoudhury Static bus schedule aware scratchpad allocation in multiprocessors. Search on Bibsonomy LCTES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Elvira Albert, Puri Arenas, Samir Genaim, Damiano Zanardini Task-level analysis for a language with async/finish parallelism. Search on Bibsonomy LCTES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Christoph Cullmann Cache persistence analysis: a novel approachtheory and practice. Search on Bibsonomy LCTES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Li-Pin Chang, Li-Chun Huang A low-cost wear-leveling algorithm for block-mapping solid-state disks. Search on Bibsonomy LCTES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Johnson J. Thomas, Sebastian Fischmeister, Deepak Kumar Lowering overhead in sampling-based execution monitoring and tracing. Search on Bibsonomy LCTES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Bruno Virlet, Xing Zhou, Jean Pierre Giacalone, Bob Kuhn, María Jesús Garzarán, David A. Padua Scheduling of stream-based real-time applications for heterogeneous systems. Search on Bibsonomy LCTES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Nicolas Berthier, Florence Maraninchi, Laurent Mounier Synchronous programming of device drivers for global resource control in embedded operating systems. Search on Bibsonomy LCTES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Man Wang, Zhiyuan Li 0001, Feng Li, Xiaobing Feng 0002, Saurabh Bagchi, Yung-Hsiang Lu Dependence-based multi-level tracing and replay for wireless sensor networks debugging. Search on Bibsonomy LCTES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Indu Bhagat, Enric Gibert, F. Jesús Sánchez, Antonio González 0001 Global productiveness propagation: a code optimization technique to speculatively prune useless narrow computations. Search on Bibsonomy LCTES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ernst Althaus, Sebastian Altmeyer, Rouven Naujoks Precise and efficient parametric path analysis. Search on Bibsonomy LCTES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Sebastian Altmeyer, Claire Maiza, Jan Reineke 0001 Resilience analysis: tightening the CRPD bound for set-associative caches. Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cache-related preemption delay, lru caches, timing analysis
1Minming Li, Chun Jason Xue, Tiantian Liu 0001, Yingchao Zhao 0001 Analysis and approximation for bank selection instruction minimization on partitioned memory architecture. Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bank selection instruction minimization, partitioned memory architecture
1Matthias Biehl, De-Jiu Chen, Martin Törngren Integrating safety analysis into the model-based development toolchain of automotive embedded systems. Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF architecture description language, tool integration, model-based development, safety analysis
1Gwenaël Delaval, Hervé Marchand, Éric Rutten Contracts for modular discrete controller synthesis. Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF adaptive and reconfigurable systems, discrete controller synthesis, components, modularity, contracts, reactive systems, synchronous programming
1Weijia Li, Youtao Zhang An efficient code update scheme for DSP applications in mobile embedded systems. Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF context-aware script, context-unaware script, incremental coalescing general offset assignment (icgoa), incremental coalescing simple offset assignment (icsoa)
1Yi Wang 0003, Duo Liu, Meng Wang 0005, Zhiwei Qin 0004, Zili Shao, Yong Guan RNFTL: a reuse-aware NAND flash translation layer for flash memory. Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF reuse, flash memory, wear-leveling, endurance, space utilization
1Robert Pyka, Felipe Klein, Peter Marwedel, Stylianos Mamagkakis Versatile system-level memory-aware platform description approach for embedded MPSoCs. Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF framework, component, configuration, channel, definition, energy models, architecture description
1Sebastian Fischmeister, Yanmeng Ba Sampling-based program execution monitoring. Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF embedded system, monitoring, debugging, sampling, tracing
1Prasad A. Kulkarni, Michael R. Jantz, David B. Whalley Improving both the performance benefits and speed of optimization phase sequence searches. Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF genetic algorithms, phase ordering
1Simon Perathoner, Tobias Rein, Lothar Thiele, Kai Lampka, Jonas Rox Modeling structured event streams in system level performance analysis. Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF event count curves, performance analysis, real-time calculus
1Yongjoo Kim, Jongeun Lee, Aviral Shrivastava, Yunheung Paek Operation and data mapping for CGRAs with multi-bank memory. Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bank conflict, multi-bank memory, compilation, arbiter, coarse-grained reconfigurable architecture
1Jens Brandt 0001, Klaus Schneider 0001, Sandeep K. Shukla Translating concurrent action oriented specifications to synchronous guarded actions. Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF concurrent action-oriented specifications, code generation, synchronous languages, guarded commands
1Ines Viskic, Lochi Yu, Daniel Gajski Design exploration and automatic generation of MPSoC platform TLMs from Kahn Process Network applications. Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF kahn process, transaction level model, automatic generation, process network, process mapping
1John Robert Wernsing, Greg Stitt Elastic computing: a framework for transparent, portable, and adaptive multi-core heterogeneous computing. Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF elastic computing, fpga, multi-core, speedup, heterogeneous architectures
1Mohammad H. Foroozannejad, Matin Hashemi, Trevor L. Hodges, Soheil Ghiasi Look into details: the benefits of fine-grain streaming buffer analysis. Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF synchronous data flow, optimization, buffer management, software synthesis, streaming applications
1Marc Schlickling, Markus Pister 0002 Semi-automatic derivation of timing models for WCET analysis. Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF vhdl, worst-case execution time, hard real-time
1Ozcan Ozturk 0001, Mahmut T. Kandemir, Mary Jane Irwin, Sri Hari Krishna Narayanan Compiler directed network-on-chip reliability enhancement for chip multiprocessors. Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF reliability, compiler, noc, chip multiprocessors
1Aviral Shrivastava, Jongeun Lee, Reiley Jeyapaul Cache vulnerability equations for protecting data in embedded processor caches from soft errors. Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cache vulnerability, static analysis, embedded processors, soft errors, code transformation, compiler technique
1Jaejin Lee, Bruce R. Childers (eds.) Proceedings of the ACM SIGPLAN/SIGBED 2010 conference on Languages, compilers, and tools for embedded systems, LCTES 2010, Stockholm, Sweden, April 13-15, 2010 Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Jia Zou 0002, Joshua S. Auerbach, David F. Bacon, Edward A. Lee PTIDES on flexible task graph: real-time embedded systembuilding from theory to practice. Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF flexible task graphs, jitter elimination, ptides, real-time systems, real-time scheduling
1Hugh Leather, Michael F. P. O'Boyle, Bruce Worton Raced profiles: efficient selection of competing compiler optimizations. Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF statistics, iterative compilation
1Colin J. Fidge, Diane Corney Integrating hardware and software information flow analyses. Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF communications devices, information security evaluation, embedded software
1Jongeun Lee, Aviral Shrivastava A compiler optimization to reduce soft errors in register files. Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF architectural vulnerability factor, link-time optimization, embedded system, compilation, static analysis, soft error, register file
1Xuejun Yang, Nathan Cooprider, John Regehr Eliminating the call stack to save RAM. Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF stack liveness, sensor networks, compiler optimization, embedded software, memory allocation, memory optimizations
1Ryan W. Moore, José Baiocchi, Bruce R. Childers, Jack W. Davidson, Jason Hiser Addressing the challenges of DBT for the ARM architecture. Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF virtualization, dynamic binary translation, arm
1Paul Caspi, Jean-Louis Colaço, Léonard Gérard, Marc Pouzet, Pascal Raymond Synchronous objects with scheduling policies: introducing safe shared memory in lustre. Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF real-time systems, compilation, semantics, type systems, synchronous languages, block-diagrams
1Jennifer Mankin, David R. Kaeli, John Ardini Software transactional memory for multicore embedded systems. Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF embedded systems, synchronization, transactions, multicore, locking, software transactional memory (stm)
1Eric Stotzer, Ernst L. Leiss Modulo scheduling without overlapped lifetimes. Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF instruction level parallelism, register allocation, software pipelining, modulo scheduling
1Taewook Oh, Bernhard Egger 0002, Hyunchul Park 0001, Scott A. Mahlke Recurrence cycle aware modulo scheduling for coarse-grained reconfigurable architectures. Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF software pipelining, placement and routing, coarse-grained reconfigurable architectures
1Charles André, Frédéric Mallet Specification and verification of time requirements with CCSL and Esterel. Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF synchronous languages, uml, marte, time model
1Abhik Sarkar, Frank Mueller 0001, Harini Ramaprasad, Sibin Mohan Push-assisted migration of real-time tasks in multi-core processors. Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF task migration., real-time systems, timing analysis, multi-core architectures
1Christoph M. Kirsch, Mahmut T. Kandemir (eds.) Proceedings of the 2009 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems, LCTES 2009, Dublin, Ireland, June 19-20, 2009 Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Thomas Heinz 0001, Reinhard Wilhelm Towards device emulation code generation. Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF bit vector arithmetic, device emulation, code generation, binary translation, satisfiability modulo theories
1Giovani Gracioli, Sebastian Fischmeister Tracing interrupts in embedded software. Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF real-time systems, debugging, tracing, embedded software
1Stephen Roderick Hines, Yuval Peress, Peter Gavin, David B. Whalley, Gary S. Tyson Guaranteeing instruction fetch behavior with a lookahead instruction fetch engine (LIFE). Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF l0/filter cache, lookahead instruction fetch engine (life), tagless hit instruction cache (th-ic)
1Paul Edward McKechnie, Michaela Blott, Wim Vanderbauwhede Debugging FPGA-based packet processing systems through transaction-level communication-centric monitoring. Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga, monitoring, debug, transaction, instrumentation
1Abhishek Udupa, R. Govindarajan, Matthew J. Thazhuthaveetil Synergistic execution of stream programs on multicores with accelerators. Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CUDAa, partitioning, software pipelining, stream programming, GPU programming
1Sandrine Blazy, Benoît Robillard Live-range unsplitting for faster optimal coalescing. Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF register allocation, graph reduction, coalescing
1Ryan Newton, Lewis Girod, Michael B. Craig, Samuel Madden 0001, J. Gregory Morrisett Design and evaluation of a compiler for embedded stream programs. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF stream processing language, sensor networks
1Houman Homayoun, Sudeep Pasricha, Mohammad A. Makhzan, Alexander V. Veidenbaum Improving performance and reducing energy-delay with adaptive resource resizing for out-of-order embedded processors. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF energy-delay, out-of-order embedded processor, resource resizing, performance, architecture
1Norman H. Cohen, Karl Trygve Kalleberg EventScript: an event-processing language based on regular expressions with actions. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF sensors, regular expressions, actuators, event processing, reactive programs
1Li Wang 0027, Xuejun Yang, Jingling Xue, Yu Deng 0001, Xiaobo Yan, Tao Tang 0001, Quan Hoang Nguyen 0001 Optimizing scientific application loops on stream processors. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF streaming, prefetching, graph coloring, data reuse, loop optimization, stream processor, software-managed cache
1Carmen Badea, Alexandru Nicolau, Alexander V. Veidenbaum Impact of JVM superoperators on energy consumption in resource-constrained embedded systems. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF superoperators, embedded systems, java virtual machine, energy estimation, profile-guided optimization
1Madhukar Anand, Insup Lee 0001 Robust and sustainable schedulability analysis of embedded software. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF robust schedulability analysis, sustainable schedulability analysis, schedulability analysis
1Seong-Won Lee, Soo-Mook Moon, Seong-Moo Kim Enhanced hot spot detection heuristics for embedded java just-in-time compilers. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF hot spot detection, j2me cdc, java just-in-time compilation, sun's hotspot heuristic, java virtual machine
1Krisztián Flautner, John Regehr (eds.) Proceedings of the 2008 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'08), Tucson, AZ, USA, June 12-13, 2008 Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Mounira Bachir, Sid Ahmed Ali Touati, Albert Cohen 0001 Post-pass periodic register allocation to minimise loop unrolling degree. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF embedded code optimisation, periodic register allocation, software pipelining, loop unrolling
1Jan Reineke 0001, Daniel Grund Relative competitive analysis of cache replacement policies. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF wcet analysis, predictability, worst-case execution time, cache performance, replacement policy
1Dariusz Biernacki, Jean-Louis Colaço, Grégoire Hamon, Marc Pouzet Clock-directed modular code generation for synchronous data-flow languages. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF real-time systems, compilation, semantics, type systems, synchronous languages
1Gwenaël Delaval, Alain Girault, Marc Pouzet A type system for the automatic distribution of higher-order synchronous dataflow programs. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF distribution, functional programming, type systems, synchronous programming
1Doosan Cho, Sudeep Pasricha, Ilya Issenin, Nikil D. Dutt, Yunheung Paek, SunJun Ko Compiler driven data layout optimization for regular/irregular array access patterns. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF compiler, memory hierarchy, energy consumption, data placement
1Bjorn De Sutter, Paul Coene, Tom Vander Aa, Bingfeng Mei Placement-and-routing-based register allocation for coarse-grained reconfigurable arrays. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF register allocation, placement and routing, coarse-grained, reconfigurable arrays
1Joshua S. Auerbach, David F. Bacon, Rachid Guerraoui, Jesper Honig Spring, Jan Vitek Flexible task graphs: a unified restricted thread programming model for java. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF real-time systems, memory management, java virtual machine, ownership types
1Sanjay V. Rajopadhye, Gautam Gupta, Lakshminarayanan Renganarayanan A domain specific interconnect for reconfigurable computing. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF coarse grain reconfiguration, fpga, silicon compilation
1Jaejin Lee, Junghyun Kim, Choonki Jang, Seungkyun Kim, Bernhard Egger 0002, Kwangsub Kim, Sangyong Han FaCSim: a fast and cycle-accurate architecture simulator for embedded systems. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF virtual prototyping, architecture simulator, full-system simulation, simulator parallelization, cycle-accurate simulation
1Dietmar Ebner, Florian Brandner, Bernhard Scholz, Andreas Krall, Peter Wiedermann, Albrecht Kadlec Generalized instruction selection using SSA-graphs. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF pbqp, compiler, code generation, instruction selection
1Po-Kuan Huang, Matin Hashemi, Soheil Ghiasi Joint throughput and energy optimization for pipelined execution of embedded streaming applications. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded soft-ware synthesis, multi-core, performance optimization, task graph
1Hyungmin Cho, Bernhard Egger 0002, Jaejin Lee, Heonshik Shin Dynamic data scratchpad memory management for a memory subsystem with an MMU. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF horizontally-partitioned memory, post-pass optimization, compilers, scratchpad memory, demand paging
1Michael L. Chu, Scott A. Mahlke Code and data partitioning for fine-grain parallelism. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF code and data partitioning, multicore compilation, fine-grain parallelism
1Joshua S. Auerbach, David F. Bacon, Daniel T. Iercan, Christoph M. Kirsch, V. T. Rajan, Harald Röck, Rainer Trummer Java takes flight: time-portable real-time programming with exotasks. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF time-portability, virtual machine, real-time scheduling, UAVs
1Richard Vincent Bennett, Alastair Colin Murray, Björn Franke, Nigel P. Topham Combining source-to-source transformations and processor instruction set extensions for the automated design-space exploration of embedded systems. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF source-level transformations, compilers, design space exploration, ASIPs, instruction set extension, customizable processors
1Minwook Ahn, Jooyeon Lee, Yunheung Paek Optimistic coalescing for heterogeneous register architectures. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF heterogeneous register architecture, register coalesing, compiler, register allocation, embedded processors
1SungHyun Hong, Jin-Chul Kim, Jin Woo Shin, Soo-Mook Moon, Hyeong-Seok Oh, Jaemok Lee, Hyung-Kyu Choi Java client ahead-of-time compiler for embedded systems. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF J2ME CDC, Java ahead-of-time compiler, early binding, Java virtual machine, just-in-time compiler, relocation
1Karsten Walther, René Herzog, Jörg Nolte Analyzing the real-time behaviour of deeply embedded event driven systems. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF real-time, profiling, embedded, event-flow
1Tai-Yi Huang, Pin-Chuan Chou, Cheng-Han Tsai, Hsin-An Chen Automated fault localization with statistically suspicious program states. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fault localization, automated debugging, state coverage
1Florent Bouchez, Alain Darte, Fabrice Rastello On the complexity of spill everywhere under SSA form. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF spill, complexity, register allocation, SSA form
1Hansu Cho, Samar Abdi, Daniel Gajski Interface synthesis for heterogeneous multi-core systems from transaction level models. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF HW-SW co-design, universal bridge, channel, transaction level model, communication synthesis, interface synthesis
1Kathryn M. O'Brien Issues and challenges in compiling for the CBEA. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Lian Li 0002, Quan Hoang Nguyen 0001, Jingling Xue Scratchpad allocation for data aggregates in superperfect graphs. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF SPM allocation, interval coloring, superperfect graph, scratchpad memory, SPM
1Santosh Pande, Zhiyuan Li 0001 (eds.) Proceedings of the 2007 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'07), San Diego, California, USA, June 13-15, 2007 Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Joel Coffman, Christopher A. Healy, Frank Mueller 0001, David B. Whalley Generalizing parametric timing analysis. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF parametric timing analysis, worst-case execution time (WCET) analysis
1Jihyun In, Ilhoon Shin, Hyojun Kim SWL: a search-while-load demand paging scheme with NAND flash memory. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF page fault handler, parallelization, NAND flash memory, demand paging, page replacement
1Xiliang Zhong, Cheng-Zhong Xu 0001 Frequency-aware energy optimization for real-time periodic and aperiodic tasks. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF real-time systems, dynamic voltage scaling, dynamic power management, power-aware scheduling
1Jun Yan 0008, Wei Zhang 0002 WCET analysis of instruction caches with prefetching. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF instruction cache, hard real-time, worst-case execution time analysis, instruction prefetching
1Chung-Kai Chen, Ling-Hua Tseng, Shih-Chang Chen, Young-Jia Lin, Yi-Ping You, Chia-Han Lu, Jenq Kuen Lee Enabling compiler flow for embedded VLIW DSP processors with distributed register files. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF distributed register files, embedded VLIW DSP compilers, software pipelining
1Qin Wang, Junpu Chen, Weihua Zhang, Min Yang 0002, Binyu Zang Optimizing software cache performance of packet processing applications. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF optimization, network processor, local memory
1Ines Viskic, Samar Abdi, Daniel D. Gajski Automatic generation of embedded communication SW for heterogeneous MPSoC platforms. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF custom communication SW, pin/cycle accurate models, MPSoC, system level design, transaction level models, platform based design, automatic synthesis, on-chip communication
1Daniel Kästner Safe worst-case execution time analysis by abstract interpretation of executable code. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Filip Pizlo, Antony L. Hosking, Jan Vitek Hierarchical real-time garbage collection. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Java memory management, real time systems
1Shan Yan, Bill Lin 0001 Stream execution on wide-issue clustered VLIW architectures. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF scheduling, compilers, VLIW processors, stream programming
1Weifeng Xu, Russell Tessier Tetris: a new register pressure control technique for VLIW processors. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF register pressure control, very long instruction word (VLIW) processor, instruction level parallelism
1Christophe Alias, Fabrice Baray, Alain Darte Bee+Cl@k: an implementation of lattice-based array contraction in the source-to-source translator rose. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF program analysis, lattices, source-to-source transformations, memory reduction
1Rajiv A. Ravindran, Michael L. Chu, Scott A. Mahlke Compiler-managed partitioned data caches for low power. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF hardware/software co-managed cache, instruction-driven cache management, partitioned cache, low-power, embedded processor
1Guangyu Chen, Feihui Li, Mahmut T. Kandemir Compiler-directed application mapping for NoC based chip multiprocessors. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF compilers, power optimization, Network-on-Chip (NoC), application mapping
Displaying result #201 - #300 of 455 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license