The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for NoC with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1992-2003 (34) 2004 (54) 2005 (102) 2006 (133) 2007 (193) 2008 (189) 2009 (153) 2010 (156) 2011 (170) 2012 (153) 2013 (176) 2014 (149) 2015 (162) 2016 (138) 2017 (138) 2018 (132) 2019 (107) 2020 (100) 2021 (87) 2022 (74) 2023 (68) 2024 (6)
Publication types (Num. hits)
article(678) book(1) incollection(23) inproceedings(1948) phdthesis(24)
Venues (Conferences, Journals, ...)
NOCS(129) DATE(124) ISCAS(72) DSD(67) DAC(63) ISVLSI(53) SBCCI(53) CoRR(49) IEEE Trans. Very Large Scale I...(41) SoCC(39) ASP-DAC(37) IEEE Trans. Comput. Aided Des....(37) Microprocess. Microsystems(37) CODES+ISSS(35) NoCArc@MICRO(34) ICCD(33) More (+10 of total 510)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1003 occurrences of 375 keywords

Results
Found 2678 publication records. Showing 2674 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
35Chunsheng Liu, Hamid Sharif, Érika F. Cota, Dhiraj K. Pradhan Test Scheduling for Network-on-Chip with BIST and Precedence Constraints. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Ling Wang 0004, Jianwen Zhang, Xiaoqing Yang, Dongxin Wen Router with centralized buffer for network-on-chip. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF centralized buffer, architecture, router, NoC
34Xianfang Tan, Lei Zhang 0014, Shankar Neelkrishnan, Mei Yang, Yingtao Jiang, Yulu Yang Scalable and fault-tolerant network-on-chip design usingthe quartered recursive diagonal torus topology. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF QRDT, routing algorithm, router, NOC
34Ahmad Patooghy, Mahdi Fazeli, Seyed Ghassem Miremadi A Low-Power and SEU-Tolerant Switch Architecture for Network on Chips. Search on Bibsonomy PRDC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF SEU-Tolerance, Power Consumption, NoC
34Guangyu Chen, Feihui Li, Mahmut T. Kandemir Compiler-directed channel allocation for saving power in on-chip networks. Search on Bibsonomy POPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF compiler, energy consumption, NoC
33Debora Matos, Caroline Concatto, Luigi Carro, Fernanda Lima Kastensmidt, Altamiro Amadeu Susin The Need for Reconfigurable Routers in Networks-on-Chip. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF heterogeneous NoC, reconfigurable router, buffer, FIFO
33Jun Ho Bahn, Jungsook Yang, Nader Bagherzadeh Parallel FFT Algorithms on Network-on-Chips. Search on Bibsonomy ITNG The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Embedded DSP system, Parallel Programming, FFT, Network-on-Chip (NoC)
33Leandro Fiorin, Gianluca Palermo, Cristina Silvano A security monitoring service for NoCs. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF MultiProcessor System-on-Chip (MP-SoC), security, embedded systems, Network-on-Chip (NoC)
33Santanu Kundu, Santanu Chattopadhyay Mesh-of-tree deterministic routing for network-on-chip architecture. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF deterministic routing., mesh-of-tree (mot), interconnection networks, system-on-chip (soc), network-on-chip (noc)
33Chi-Chia Sun, Jürgen Götze, Hong-Yuan Jheng, Shanq-Jang Ruan Sparse Matrix-Vector Multiplication Based on Network-on-Chip in FPGA. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF SMVM, SMVM-NoC, FPGA, SoC, NoC, Iterative Algorithm, Sparse Matrix
29Avinash Karanth Kodi, Ashwini Sarathy, Ahmed Louri, Janet Meiling Wang Adaptive inter-router links for low-power, area-efficient and reliable Network-on-Chip (NoC) architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Ping Zhou, Bo Zhao 0007, Yu Du, Yi Xu, Youtao Zhang, Jun Yang 0002, Li Zhao 0002 Frequent value compression in packet-based NoC architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Balasubramanian Sethuraman, Ranga Vemuri A methodology for application-specific NoC architecture generation in a dynamic task structure environment. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic task graphs, networks-on-chip, bandwidth
29Edith Beigné, Fabien Clermidy, Sylvain Miermont, Pascal Vivet Dynamic Voltage and Frequency Scaling Architecture for Units Integration within a GALS NoC. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Pausable clock, Vdd Hopping, Network-on-Chip, power, DVFS, GALS
29Simon Ogg, Enrico Valli, Bashir M. Al-Hashimi, Alexandre Yakovlev, Crescenzo D'Alessandro, Luca Benini Serialized Asynchronous Links for NoC. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Dario Frazzetta, Giuseppe Dimartino, Maurizio Palesi, Shashi Kumar, Vincenzo Catania Efficient Application Specific Routing Algorithms for NoC Systems utilizing Partially Faulty Links. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Shan Tang, Qiang Xu 0001 A debug probe for concurrently debugging multiple embedded cores and inter-core transactions in NoC-based systems. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Jason D. Lee, Rabi N. Mahapatra In-field NoC-based SoC testing with distributed test vector storage. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Pavel Ghosh, Arunabha Sen Energy minimization using a greedy randomized heuristic for the voltage assignment problem in NoC. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Mohammad Hosseinabady, José L. Núñez-Yáñez Fault-tolerant dynamically reconfigurable NoC-based SoC. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Joseph Nimmy, C. Ramesh Reddy, Keshavan Varadarajan, Mythri Alle, Alexander Fell, S. K. Nandy 0001, Ranjani Narayan RECONNECT: A NoC for polymorphic ASICs using a low overhead single cycle router. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Dominique Borrione, Amr Helmy, Laurence V. Pierre, Julien Schmaltz A Generic Model for Formally Verifying NoC Communication Architectures: A Case Study. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Terrence S. T. Mak, N. Pete Sedcole, Peter Y. K. Cheung, Wayne Luk, Kai-Pui Lam A Hybrid Analog-Digital Routing Network for NoC Dynamic Routing. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Mohammad Sadegh Talebi, Fahimeh Jafari, Ahmad Khonsari A Novel Flow Control Scheme for Best Effort Traffic in NoC Based on Source Rate Utility Maximization. Search on Bibsonomy MASCOTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF utility-based optimization, congestion control, Network-on-Chip, iterative algorithm
29Eduardo Wenzel Brião, Daniel Barcelos, Fabio Wronski, Flávio Rech Wagner Impact of task migration in NoC-based MPSoCs for soft real-time applications. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Mohammad Mirza-Aghatabar, Somayyeh Koohi, Shaahin Hessabi, Massoud Pedram An Empirical Investigation of Mesh and Torus NoC Topologies Under Different Routing Algorithms and Traffic Models. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Jaan Raik, Raimund Ubar, Vineeth Govind Test Configurations for Diagnosing Faulty Links in NoC Switches. Search on Bibsonomy ETS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Wenbiao Zhou, Yan Zhang 0066, Zhigang Mao A Link-Load Balanced Low Energy Mapping and Routing for NoC. Search on Bibsonomy ICESS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Angelo Kuti Lusala, Philippe Manet, Bertrand Rousseau, Jean-Didier Legat NoC Implementation in FPGA Using Torus Topology. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Jun Ho Bahn, Seung Eun Lee, Nader Bagherzadeh On Design and Analysis of a Feasible Network-on-Chip (NoC) Architecture. Search on Bibsonomy ITNG The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Maurizio Palesi, Shashi Kumar, Rickard Holsmark, Vincenzo Catania Exploiting Communication Concurrency for Efficient Deadlock Free Routing in Reconfigurable NoC Platforms. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Glenn Leary, Krishna Mehta, Karam S. Chatha Performance and resource optimization of NoC router architecture for master and slave IP cores. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGA, network-on-chip
29Teijo Lehtonen, Pasi Liljeberg, Juha Plosila Fault Tolerance Analysis of NoC Architectures. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Partha Pratim Pande, Amlan Ganguly, Brett Feero, Cristian Grecu Applicability of Energy Efficient Coding Methodology to Address Signal Integrity in 3D NoC Fabrics. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Mahmoud Moadeli, Alireza Shahrabi, Wim Vanderbauwhede, Mohamed Ould-Khaoua Communication Modelling of the Spidergon NoC with Virtual Channels. Search on Bibsonomy ICPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Praveen Bhojwani, Rabi N. Mahapatra A Robust Protocol for Concurrent On-Line Test (COLT) of NoC-based Systems-on-a-Chip. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Zhonghai Lu, Ingo Sander, Axel Jantsch Towards Performance-Oriented Pattern-Based Refinement of Synchronous Models onto NoC Communication. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Maurizio Palesi, Rickard Holsmark, Shashi Kumar, Vincenzo Catania A methodology for design of application specific deadlock-free routing algorithms for NoC systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF networks, networks on chip, adaptive routing, application specific, deadlock-free routing
29Mário P. Véstias, Horácio C. Neto Area/Performance Improvement of NoC Architectures. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Sanjay Pratap Singh, Shilpa Bhoj, Dheera Balasubramanian, Tanvi Nagda, Dinesh Bhatia, Poras T. Balsara Generic Network Interfaces for Plug and Play NoC Based Architecture. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Balal Ahmad, Ahmet T. Erdogan, Sami Khawam Architecture of a Dynamically Reconfigurable NoC for Adaptive Reconfigurable MPSoC. Search on Bibsonomy AHS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Wenbiao Zhou, Yan Zhang 0066, Zhigang Mao Pareto based Multi-objective Mapping IP Cores onto NoC Architectures. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Resve A. Saleh An approach that will NoC your SoCs off! Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF networks on chips, SoC design, Moore's law, interconnect delay, IP blocks
29César A. M. Marcon, Ney Laert Vilar Calazans, Fernando Gehm Moraes, Altamiro Amadeu Susin, Igor M. Reis, Fabiano Hessel Exploring NoC Mapping Strategies: An Energy and Timing Aware Technique. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Krishnan Srinivasan, Karam S. Chatha SAGA: synthesis technique for guaranteed throughput NoC architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Aline Mello 0001, Leonel Tedesco, Ney Calazans, Fernando Moraes 0001 Virtual channels in networks on chip: implementation and evaluation on hermes NoC. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF performance, network-on-chip, virtual channel
29Romain Lemaire, Fabien Clermidy, Yves Durand, Didier Lattard, Ahmed Amine Jerraya Performance Evaluation of a NoC-Based Design for MC-CDMA Telecommunications Using NS-2. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Márcio Eduardo Kreutz, César A. M. Marcon, Luigi Carro, Altamiro Amadeu Susin, Ney Laert Vilar Calazans Energy and latency evaluation of NoC topologies. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Pooria M. Yaghini, Ashkan Eghbal, Hossein Pedram, Hamid R. Zarandi Investigation of Transient Fault Effects in an Asynchronous NoC Router. Search on Bibsonomy PDP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Fault Tolerance, Asynchronous, NoC
29Jueping Cai, Zheng Liu, Ming Du, Zan Li, Lei Yao Integrated Modeling, Generation and Optimization for Packet based NoC Topology. Search on Bibsonomy AINA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Optimization, NoC, Topology modeling
29Alpesh Patel, Hemangee K. Kapoor Exploring Use of NoC for Reconfigurable Video Coding. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Reconfigurable Video Coding, MPEG RVC, Network on Chip, NoC
29Xu Wang, Ge Gan, Dongrui Fan, Shuxu Guo GFFC: The Global Feedback Based Flow Control in the NoC Design for Many-core Processor. Search on Bibsonomy NPC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF global feedback, flow control, NoC, many-core
29Dawid Zydek, Henry Selvaraj Processor Allocation Problem for NoC-Based Chip Multiprocessors. Search on Bibsonomy ITNG The full citation details ... 2009 DBLP  DOI  BibTeX  RDF allocation algorithms, CMPs, NoC, hardware implementation, scheduling techniques
29Ling Wang, Jianye Hao, Feixuan Wang Bus-Based and NoC Infrastructure Performance Emulation and Comparison. Search on Bibsonomy ITNG The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Spidernet, FPGA, NoC
29John Mark Nolen, Rabi N. Mahapatra Time-Division-Multiplexed Test Delivery for NoC Systems. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF scan test delivery, SoC, NoC, TAM, time-division multiplexing, test access mechanism, embedded-core testing, TDM
29Yana Esteves Krasteva, Francisco Criado, Eduardo de la Torre, Teresa Riesgo A Fast Emulation-Based NoC Prototyping Framework. Search on Bibsonomy ReConFig The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Partial Reconfiguratin, FPGA, Rapid Prototyping, Emulation, NoC, SoC design
28Chih-Hao Chao, Kai-Yuan Jheng, Hao-Yu Wang, Jia-Cheng Wu, An-Yeu Wu Traffic- and Thermal-Aware Run-Time Thermal Management Scheme for 3D NoC Systems. Search on Bibsonomy NOCS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF run-time thermal management, 3D NoC, routing, 3D IC, traffic-aware, throttling, thermal-aware
28Francesca Palumbo, Danilo Pani, Alessandro Pilia, Luigi Raffo Impact of Half-Duplex and Full-Duplex DMA Implementations on NoC Performance. Search on Bibsonomy NOCS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF full-duplex DMA, half-duplex DMA, hybrid switching NoC, DMA performance bias, deadlock prevention
28Henrique Cota de Freitas, Lucas Mello Schnorr, Marco Antonio Zanata Alves, Philippe Olivier Alexandre Navaux Impact of Parallel Workloads on NoC Architecture Design. Search on Bibsonomy PDP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF NoC Architectures, Parallel Workloads, General-Purpose Many-Core Processors, Performance Evaluation
28J. L. Ma, C. Wang, Y. Wen, T. Z. Chen, W. Hu, J. Chen Dynamic Reconfigurable Networks in NoC for I/O Supported Parallel Applications. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dynamic reconfigurable networks, IO parallel applications, NoC architecture, parallel computing
28Peng Liu 0016, Chunchang Xiang, Xiaohang Wang 0001, Bingjie Xia, Yangfan Liu, Weidong Wang, Qingdong Yao A NoC Emulation/Verification Framework. Search on Bibsonomy ITNG The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multiprocessor systems-on-chip (MPSoC), FPGA, emulation, networks-on-chip (NoC)
26Amir-Mohammad Rahmani, Pasi Liljeberg, Juha Plosila, Hannu Tenhunen Power and performance optimization of voltage/frequency island-based networks-on-chip using reconfigurable synchronous/bi-synchronous FIFOs. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF globally asynchronous locally synchronous (gals), low-power and high-performance design, reconfigurable fifos, voltage/frequency islands (vfis), networks-on-chip (nocs)
26Srinivasan Murali, Ciprian Seiculescu, Luca Benini, Giovanni De Micheli Synthesis of networks on chips for 3D systems on chips. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF topology synthesis, networks on chip, 3D, application-specific
26Paul Bogdan, Radu Marculescu Statistical physics approaches for network-on-chip traffic characterization. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fractional calculus, systems-on-chip, networks-on-chip, multi-processor systems, master equation
26Frank E. B. Ophelders, Marco Bekooij, Henk Corporaal A tuneable software cache coherence protocol for heterogeneous MPSoCs. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF performance, design, reliability
26Ying-Cherng Lan, Michael C. Chen, Wei-De Chen, Sao-Jie Chen, Yu Hen Hu Performance-energy tradeoffs in reliable NoCs. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Wooyoung Jang, David Z. Pan An SDRAM-aware router for Networks-on-Chip. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Networks-on-Chip, memory, flow control, router
26Leandro Fiorin, Gianluca Palermo, Slobodan Lukovic, V. Catalano, Cristina Silvano Secure Memory Accesses on Networks-on-Chip. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Yogesh Singh, Anju Saha A Metric-Based Approach to Assess Class Testability. Search on Bibsonomy XP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Jun Wang, Hongbo Zeng, Kun Huang, Ge Zhang 0007, Yan Tang Zero-Efficient Buffer Design for Reliable Network-on-Chip in Tiled Chip-Multi-Processor. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Faizal Arya Samman, Thomas Hollstein, Manfred Glesner Multicast Parallel Pipeline Router Architecture for Network-on-Chip. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26M.-C. Frank Chang, Jason Cong, Adam Kaplan, Chunyue Liu, Mishali Naik, Jagannath Premkumar, Glenn Reinman, Eran Socher, Sai-Wang Tam Power reduction of CMP communication networks via RF-interconnects. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Luciano Ost, Fernando Gehm Moraes, Leandro Möller, Leandro Soares Indrusiak, Manfred Glesner, Sanna Määttä, Jari Nurmi A simplified executable model to evaluate latency and throughput of networks-on-chip. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF performance evaluation, modeling, networks-on-chip
26Nicola Concer, Michele Petracca, Luca P. Carloni Distributed flit-buffer flow control for networks-on-chip. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF latency-insensitive protocols, network-on-chip
26Dimitris Zisiadis, Spyros Kopsidas, Matina Tsavli, Leandros Tassiulas, Leonidas Georgiadis, Chrysostomos Tziouvaras, Fotis Karayannis Grid Management: Data Model Definition for Trouble Ticket Normalization. Search on Bibsonomy GridNets The full citation details ... 2008 DBLP  DOI  BibTeX  RDF trouble ticket, grid information systems, Network management, problem solving, grid services
26Abdelhafid Bouhraoua, Muhammad E. S. Elrabaa Addressing Heterogeneous Bandwidth Requirements in Modified Fat-Tree Networks-on-Chips. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Routing, Interconnection Networks, Systems-on-Chip, Networks-On-Chip, ASICs, Fat Tree
26Mohammad Abdullah Al Faruque, Rudolf Krist, Jörg Henkel ADAM: run-time agent-based distributed application mapping for on-chip communication. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF agent-based application mapping, on-chip communication
26Rutuparna Tamhankar, Srinivasan Murali, Stergios Stergiou, Antonio Pullini, Federico Angiolini, Luca Benini, Giovanni De Micheli Timing-Error-Tolerant Network-on-Chip Design Methodology. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Hyung Gyu Lee, Naehyuck Chang, Ümit Y. Ogras, Radu Marculescu On-chip communication architecture exploration: A quantitative evaluation of point-to-point, bus, and network-on-chip approaches. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF MPEG-2 encoder, system-on-chip, Networks-on-chip, FPGA prototype, point-to-point
26Isask'har Walter, Israel Cidon, Ran Ginosar, Avinoam Kolodny Access Regulation to Hot-Modules in Wormhole NoCs. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF SoC, resource management, Network on-Chip, hotspot, wormhole
26Xiang Wu, Tamer Ragheb, Adnan Aziz, Yehia Massoud Implementing DSP Algorithms with On-Chip Networks. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Aline Mello 0001, Ney Laert Vilar Calazans Rate-based scheduling policy for QoS flows in networks on chip. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Ümit Y. Ogras, Radu Marculescu Analytical router modeling for networks-on-chip performance analysis. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Pekka Rantala, Jouni Isoaho, Hannu Tenhunen Novel Agent-Based Management for Fault-Tolerance in Network-on-Chip. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Daniele Mangano, G. Falconeri, Carlo Pistritto, Alberto Scandurra Effective full-duplex Mesochronous Link Architecture for Network-on-Chip Data-Link layer. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Nan Wang, Azeez Sanusi, Peiyi Zhao, Shaheen Mohamed, Magdy A. Bayoumi PMCNOC: A Pipelining Multi-Channel Central Caching Network-on-Chip Communication Architecture Design. Search on Bibsonomy SiPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Haytham Elmiligi, M. Watheq El-Kharashi, Fayez Gebali Modeling and Implementation of an Output-Queuing Router for Networks-on-Chips. Search on Bibsonomy ICESS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Everton Carara, Fernando Moraes 0001, Ney Calazans Router architecture for high-performance NoCs. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF session layer, switching modes, networks on chip, virtual channels
26Leonel Tedesco, Fernando Moraes 0001, Ney Calazans Buffer sizing for QoS flows in wormhole packet switching NoCs. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF quality of service, networks on chip, traffic modeling, buffer sizing
26Jian Yang 0016, Ning Zhong 0001, Peipeng Liang, Jue Wang 0004, Yiyu Yao, Shengfu Lu Brain Activation Detection by Neighborhood One-Class SVM. Search on Bibsonomy Web Intelligence/IAT Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Balasubramanian Sethuraman, Ranga Vemuri Multicasting based topology generation and core mapping for a power efficient networks-on-chip. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF packet reduction, power-efficient core mapping, multicast, networks-on-chip, mesh topology
26Wim Vanderbauwhede Separation of Data flow and Control flow in Reconfigurable Multi-core SoCs using the Gannet Service-based Architecture. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Takashi Aikyo, Hiroshi Takahashi, Yoshinobu Higami, Junichi Ootsu, Kyohei Ono, Yuzo Takamatsu Timing-Aware Diagnosis for Small Delay Defects. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Waleed K. Al-Assadi, Sindhu Kakarla Testing of Asynchronous NULL Conventional Logic (NCL) Circuits in Synchronous-Based Design. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Yuri Nishikawa, Michihiro Koibuchi, Masato Yoshimi, Kenichi Miura, Hideharu Amano Performance Improvement Methodology for ClearSpeed's CSX600. Search on Bibsonomy ICPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Naveen Muralimanohar, Rajeev Balasubramonian Interconnect design considerations for large NUCA caches. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF non-uniform cache architecture, network-on-chip, interconnect, memory hierarchies, cache models
26Théodore Marescaux, Henk Corporaal Introducing the SuperGT Network-on-Chip; SuperGT QoS: more than just GT. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Lap-Fai Leung, Chi-Ying Tsui Energy-Aware Synthesis of Networks-on-Chip Implemented with Voltage Islands. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Assaf Shacham, Keren Bergman, Luca P. Carloni The Case for Low-Power Photonic Networks on Chip. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Thilo Pionteck, Carsten Albrecht, Roman Koch A dynamically reconfigurable packet-switched network-on-chip. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 2674 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license