The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for RISC with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1981-1985 (15) 1986-1987 (36) 1988 (30) 1989 (33) 1990 (61) 1991 (45) 1992 (40) 1993 (41) 1994 (47) 1995 (51) 1996 (54) 1997 (42) 1998 (46) 1999 (32) 2000 (41) 2001 (34) 2002 (33) 2003 (53) 2004 (46) 2005 (63) 2006 (64) 2007 (63) 2008 (49) 2009 (36) 2010-2011 (23) 2012-2013 (24) 2014 (15) 2015 (18) 2016 (26) 2017 (31) 2018 (47) 2019 (97) 2020 (132) 2021 (177) 2022 (196) 2023 (310) 2024 (50)
Publication types (Num. hits)
article(739) book(14) incollection(1) inproceedings(1418) phdthesis(29)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 851 occurrences of 523 keywords

Results
Found 2201 publication records. Showing 2201 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Unnikrishnan R. Nair, Donna J. Quammen, Daniel Tabak Superscalar Extension for the Multris Processor. Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Anne M. Holler Optimization for a Superscalar Out-of-Order Machine. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Russell Carter, John Laroco, Robert Armstrong Commodity Clusters: Performance Comparison Between PC's and Workstations. Search on Bibsonomy HPDC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Kechang Dai, Wolfgang K. Giloi A basic architecture supporting LGDG computation. Search on Bibsonomy ICS The full citation details ... 1990 DBLP  DOI  BibTeX  RDF graph level, hierarchical function distribution, large-grain, node aggregation, node level, node migration, scheduling overhead, significant computation, computer architecture, fine-grain, dataflow computation
18Wen-mei W. Hwu, Yale N. Patt Exploiting horizontal and vertical concurrency via the HPSm microprocessor. Search on Bibsonomy MICRO The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
16Nathaniel Ross Pinckney, Thomas Barr, Michael Dayringer, Matthew McKnett, Nan Jiang 0009, Carl Nygaard, David Money Harris, Joel Stanley, Braden Phillips A MIPS R2000 implementation. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF RISC, MIPS
16Tingting Sha, Milo M. K. Martin, Amir Roth NoSQ: Store-Load Communication without a Store Queue. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF microarchitecture, RISC, pipeline processors, VLIW architectures, CISC
16Sumeet Kumar, Aneesh Aggarwal Self-checking instructions: reducing instruction redundancy for concurrent error detection. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF RISC/CISC, reducing instruction redundancy, redundant multi-threading, self-checking instructions, concurrent error detection, VLIW architectures
16John Goodacre, Andrew N. Sloss Parallelism and the ARM Instruction Set Architecture. Search on Bibsonomy Computer The full citation details ... 2005 DBLP  DOI  BibTeX  RDF computer architectures, multiprocessor systems, MPSoCs, RISC processors
16Chidamber Kulkarni, C. Ghez, Miguel Miranda, Francky Catthoor, Hugo De Man Cache Conscious Data Layout Organization for Conflict Miss Reduction in Embedded Multimedia Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF RISC/CISC, VLIW architectures, VLSI systems
16Murali Jayapala, Francisco Barat, Tom Vander Aa, Francky Catthoor, Henk Corporaal, Geert Deconinck Clustered Loop Buffer Organization for Low Energy VLIW Embedded Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF RISC/CISC, low-power design, memory management, real-time and embedded systems, VLIW architectures, memory design
16Chris Rowen, Steve Leibson Flexible architectures for engineering successful SOCs. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF processor cores, MPSOC, RISC, RTL, SOC
16Octavian Cret, Kalman Pusztai, Cristian Vancea, Balint Szente CREC: A Novel Reconfigurable Computing Design Methodology. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF general-purpose reconfigurable systems, Hardware / Software CoDesign, multiple execution units, FPGA, VHDL, RISC, Instruction Level Parallelism (ILP)
16João Carreira, Henrique Madeira, João Gabriel Silva Xception: A Technique for the Experimental Evaluation of Dependability in Modern Computers. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF real time, Fault injection, dependability evaluation, RISC processors
16Jean-Paul Theis, Lothar Thiele VLIW-Processors under Periodic Real Time Constraints. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Risc architecture, frontend compilers, embedded systems
16David Mosberger, Larry L. Peterson, Patrick G. Bridges, Sean W. O'Malley Analysis of Techniques to Improve Protocol Processing Latency. Search on Bibsonomy SIGCOMM The full citation details ... 1996 DBLP  DOI  BibTeX  RDF TCP/IP, RISC
16Yooichi Shintani, Kiyoshi Inoue, Eiki Kamada, Toru Shonai A Performance and Cost Analysis of Applying Superscalar Method to Mainframe Computers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF CPI, operand cache, object compatibility, performance, pipeline, RISC, superscalar, CPU, OLTP, hardware cost, CISC, mainframe computer, Arithmetic unit
16Gary S. Tyson The effects of predicated execution on branch prediction. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF HP-RISC, Pentium, high-performance, ATOM, branch prediction, predication, PowerPC, Alpha
16Michael Golden, Trevor N. Mudge A comparison of two pipeline organizations. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF pipelines, cache memory, RISC, memory system, interlocks
16Edwin A. Harcourt, Jon Mauney, Todd A. Cook Formal specification and simulation of instruction-level parallelism. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF RISC
16Tadahiko Nishimukai Hitachi-PA/50, SH Series Microcontroller. Search on Bibsonomy DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF RISC
16David W. Wall Experience with a Software-Defined Machine Architecture. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF optimization, profiling, graph coloring, register allocation, RISC, pipeline scheduling, intermediate language, register windows, interprocedural
16Patrick Rogers, Marc Pitarys Implementing Distributed Ada. Search on Bibsonomy TRI-Ada The full citation details ... 1992 DBLP  DOI  BibTeX  RDF Ada, RISC, CISC
16Yung-Chin Chen, Alexander V. Veidenbaum A software coherence scheme with the assistance of directories. Search on Bibsonomy ICS The full citation details ... 1991 DBLP  DOI  BibTeX  RDF RISC
16Robert F. Cmelik, Shing I. Kong, David R. Ditzel, Edmund J. Kelly An Analysis of SPARC and MIPS Instruction Set Utilization on the SPEC Benchmarks. Search on Bibsonomy ASPLOS The full citation details ... 1991 DBLP  DOI  BibTeX  RDF RISC
16David G. Bradlee, Susan J. Eggers, Robert R. Henry Integrating Register Allocation and Instruction Scheduling for RISCs. Search on Bibsonomy ASPLOS The full citation details ... 1991 DBLP  DOI  BibTeX  RDF RISC
16Thomas E. Anderson, Henry M. Levy, Brian N. Bershad, Edward D. Lazowska The Interaction of Architecture and Operating System Design. Search on Bibsonomy ASPLOS The full citation details ... 1991 DBLP  DOI  BibTeX  RDF RISC, Sun
16David F. Bacon, Seth Copen Goldstein Hardware-Assisted Replay of Multiprocessor Programs. Search on Bibsonomy Workshop on Parallel and Distributed Debugging The full citation details ... 1991 DBLP  DOI  BibTeX  RDF RISC
16Douglas Johnson Trap Architectures for Lisp Systems. Search on Bibsonomy LISP and Functional Programming The full citation details ... 1990 DBLP  DOI  BibTeX  RDF SPUR, LISP, RISC
16Jean-Claude Heudin, Jean-Pierre Courrier, Christophe Metivier Toward embedded controllers for real-time applications of artificial intelligence. Search on Bibsonomy IEA/AIE (1) The full citation details ... 1989 DBLP  DOI  BibTeX  RDF RISC
16Scott McFarling Program Optimization for Instruction Caches. Search on Bibsonomy ASPLOS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF RISC
16William J. Dally Micro-Optimization of Floating Point Operations. Search on Bibsonomy ASPLOS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF RISC
16Albert Chang, Mark F. Mergen 801 Storage: Architecture and Programming. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF RISC
16Deborah S. Coutant, Sue Meloy, Michelle Ruscetta DOC: A Practical Approach to Source-Level Debugging of Globally Optimized Code. Search on Bibsonomy PLDI The full citation details ... 1988 DBLP  DOI  BibTeX  RDF RISC
16Peter Steenkiste, John L. Hennessy Tags and Type Checking in Lisp: Hardware and Software Approaches. Search on Bibsonomy ASPLOS The full citation details ... 1987 DBLP  DOI  BibTeX  RDF LISP, RISC
13Francesco Conti 0001, Gianna Paulin, Angelo Garofalo, Davide Rossi, Alfio Di Mauro, Georg Rutishauser, Gianmarco Ottavi, Manuel Eggimann, Hayate Okuhara, Luca Benini Marsellus: A Heterogeneous RISC-V AI-IoT End-Node SoC With 2-8 b DNN Acceleration and 30%-Boost Adaptive Body Biasing. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Hakan Uzuner, Elif Bilge Kavun NLU-V: A Family of Instruction Set Extensions for Efficient Symmetric Cryptography on RISC-V. Search on Bibsonomy Cryptogr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Zhi-Guo Yu, Xiao-Yu Zhong, Xiao-Jie Ma, Xiaofeng Gu W-IQ: Wither-logic based issue queue for RISC-V superscalar out-of-order processor. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Karthikeyan Kalyanasundaram Balasubramanian, Mirco Di Salvo, Walter Rocchia, Sergio Decherchi, Marco Crepaldi Designing RISC-V Instruction Set Extensions for Artificial Neural Networks: An LLVM Compiler-Driven Perspective. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Francisco Marques, Manuel Rodriguez, Bruno Sá, Sandro Pinto 0001 "Interrupting" the Status Quo: A First Glance at the RISC-V Advanced Interrupt Architecture (AIA). Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Shanwen Wu, Satoshi Kumano, Kei Marume, Masato Edahiro Task Mapping and Scheduling on RISC-V MIMD Processor With Vector Accelerator Using Model-Based Parallelization. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Gaoyu Mao, Yao Liu 0006, Wangchen Dai, Guangyan Li, Zhewen Zhang, Alan H. F. Lam, Ray C. C. Cheung REALISE-IoT: RISC-V-Based Efficient and Lightweight Public-Key System for IoT Applications. Search on Bibsonomy IEEE Internet Things J. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Tamon Asano, Takeshi Sugawara 0001 Simulation-based evaluation of bit-interaction side-channel leakage on RISC-V: extended version. Search on Bibsonomy J. Cryptogr. Eng. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Jordan Morris, Ashur Rafiev, Graeme M. Bragg, Mark L. Vousden, David B. Thomas, Alex Yakovlev, Andrew D. Brown An Event-Driven Approach to Genotype Imputation on a Custom RISC-V Cluster. Search on Bibsonomy IEEE ACM Trans. Comput. Biol. Bioinform. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Yehuda Kra, Yonatan Shoshan, Yehuda Rudin, Adam Teman HAMSA-DI: A Low-Power Dual-Issue RISC-V Core Targeting Energy-Efficient Embedded Systems. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Wente Yi, Kefan Mo, Wenjia Wang, Yitong Zhou, Yejun Zeng, Zihan Yuan, Bojun Cheng, Biao Pan RDCIM: RISC-V Supported Full-Digital Computing-in-Memory Processor With High Energy Efficiency and Low Area Overhead. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Alessandro Ottaviano, Robert Balas, Giovanni Bambini, Antonio del Vecchio, Maicol Ciani, Davide Rossi, Luca Benini, Andrea Bartolini ControlPULP: A RISC-V On-Chip Parallel Power Controller for Many-Core HPC Processors with FPGA-Based Hardware-In-The-Loop Power and Thermal Emulation. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Yuxing Chen, Xinrui Wang, Suwen Song, Lang Feng, Zhongfeng Wang 0001 RISC-V Custom Instructions of Elementary Functions for IoT Endpoint Devices. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Kari Hepola, Joonas Multanen, Pekka Jääskeläinen Energy-Efficient Exposed Datapath Architecture With a RISC-V Instruction Set Mode. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Shihang Wang, Xingbo Wang, Zhiyuan Xu, Bingzhen Chen, Chenxi Feng, Qi Wang 0051, Terry Tao Ye Optimizing CNN Computation Using RISC-V Custom Instruction Sets for Edge Platforms. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Maryam Esmaeilian, Hakem Beitollahi Experimental evaluation of RISC-V micro-architecture against fault injection attack. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13WenBing Xie, DaGuo Tang, FengBin Qi, ZhiLei Chai, QiaoLing Luo, Yuan Lin Towards Efficient Dynamic Binary Translation Optimizations Based on RISC Architectural Features. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Chen Bai, Qi Sun 0002, Jianwang Zhai, Yuzhe Ma, Bei Yu 0001, Martin D. F. Wong BOOM-Explorer: RISC-V BOOM Microarchitecture Design Space Exploration. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Mohamed Amine Hamdi, Giovanni Pollo, Matteo Risso, Germain Haugou, Alessio Burrello, Enrico Macii, Massimo Poncino, Sara Vinco, Daniele Jahier Pagliari Integrating SystemC-AMS Power Modeling with a RISC-V ISS for Virtual Prototyping of Battery-operated Embedded Devices. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13María José Belda, Katzalin Olcoz, Fernando Castro, Francisco Tirado Optimization of a Line Detection Algorithm for Autonomous Vehicles on a RISC-V with Accelerator. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Elias Perdomo, Alexander Kropotov, Francelly Cano, Syed Zafar, Teresa Cervero, Xavier Martorell, Behzad Salami 0001 Makinote: An FPGA-Based HW/SW Platform for Pre-Silicon Emulation of RISC-V Designs. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Luca Valente, Alessandro Nadalini, Asif Veeran, Mattia Sinigaglia, Bruno Sá, Nils Wistoff, Yvan Tortorella, Simone Benatti, Rafail Psiakis, Ari Kulmala, Baker Mohammad, Sandro Pinto 0001, Daniele Palossi, Luca Benini, Davide Rossi A Heterogeneous RISC-V based SoC for Secure Nano-UAV Navigation. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Gerardo Bandera, Javier Salamero, Miquel Moretó, Julio Villalba Floating Point HUB Adder for RISC-V Sargantana Processor. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Shadeeb Hossain, Aayush Gohil, Yizhou Wang Using LLM such as ChatGPT for Designing and Implementing a RISC Processor: Execution, Challenges and Limitations. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Matteo Perotti, Yichao Zhang, Matheus A. Cavalcante, Enis Mustafa, Luca Benini MX: Enhancing RISC-V's Vector ISA for Ultra-Low Overhead, Energy-Efficient Matrix Multiplication. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Gideon Mohr, Marco Guarnieri, Jan Reineke 0001 Synthesizing Hardware-Software Leakage Contracts for RISC-V Open-Source Processors. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Xinchao Zhong, Sean Longyu Ma, Hong-Fu Chou A RISC-V SOC for Terahertz IoT Devices: Implementation and design challenges. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Chuanning Wang, Chao Fang, Xiao Wu, Zhongfeng Wang 0001, Jun Lin 0001 A Scalable RISC-V Vector Processor Enabling Efficient Multi-Precision DNN Inference. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Simone Machetti, Pasquale Davide Schiavone, Thomas Christoph Müller, Miguel Peón Quirós, David Atienza X-HEEP: An Open-Source, Configurable and Extendible RISC-V Microcontroller for the Exploration of Ultra-Low-Power Edge Accelerators. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Marco Brohet, Francesco Regazzoni 0001 A Survey on Thwarting Memory Corruption in RISC-V. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Konstantina Miteloudi, Asmita Adhikary, Niels van Drueten, Lejla Batina, Ileana Buhan Plan your defense: A comparative analysis of leakage detection methods on RISC-V cores. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2024 DBLP  BibTeX  RDF
13Alexandre Joannou, Peter Rugg, Jonathan Woodruff, Franz A. Fuchs, Marno van der Maas, Matthew Naylor, Michael Roe, Robert N. M. Watson, Peter G. Neumann, Simon W. Moore Randomized Testing of RISC-V CPUs Using Direct Instruction Injection. Search on Bibsonomy IEEE Des. Test The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13David Chisnall How to Design an ISA: The popularity of RISC-V has led many to try designing instruction sets. Search on Bibsonomy ACM Queue The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Michalis Gianioudis, Pantelis Xirouchakis, Charisios Loukas, Evangelos Mageiropoulos, Orestis Mousouros, Sokratis Mpartzis, Aggelos Ioannou, Vassilis Papaefstathiou, Manolis Katevenis, Nikolaos Chrysos Low-latency Communication in RISC-V Clusters. Search on Bibsonomy HPC Asia The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Roberto Starc, Tom Kuchler, Michael Giardino, Ana Klimovic Serverless? RISC more! Search on Bibsonomy SESAME@EuroSys The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Stefano Ribes, Fabio Malatesta, Grazia Garzo, Alessandro Palumbo Machine Learning-Based Classification of Hardware Trojans in FPGAs Implementing RISC-V Cores. Search on Bibsonomy ICISSP The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Won Hyeok Kim, Hyeong Jin Kim, Tae Hee Han RISC-VR-Extension: Advancing Efficiency with Rented-Pipeline for Edge DNN Processing. Search on Bibsonomy ICAIIC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Seonghun Jeong, Jooyeon Lee, Jaeha Kung A Full SW-HW Demonstration of GEMM Accelerators with RISC-V Instruction Extensions. Search on Bibsonomy ICEIC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Willian Analdo Nunes, Angelo Elias Dalzotto, Caroline da Silva Borges, Fernando Gehm Moraes RS5: An Integrated Hardware and Software Ecosystem for RISC- V Embedded Systems. Search on Bibsonomy LASCAS The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Carlos Gabriel de Araujo Gewehr, Nicolas Moura, Lucas Luza, Eduardo Bernardon, Ney Calazans, Rafael Garibotti, Fernando Gehm Moraes Hardware Acceleration of Authenticated Encryption with Associated Data via RISC-V Instruction Set Extensions in Low Power Embedded Systems. Search on Bibsonomy LASCAS The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Miguel Henriques, João Bispo, Nuno Paulino 0001 Using Source-to-Source to Target RISC-V Custom Extensions: UVE Case-Study. Search on Bibsonomy RAPIDO@HiPEAC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Mirco Mannino, Yinting Huang, Biagio Peccerillo, Alessio Medaglini, Sandro Bartolini Integration of RISC-V Page Table Walk in gem5 SE Mode. Search on Bibsonomy RAPIDO@HiPEAC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Elias Perdomo, Alexander Kropotov, Francelly Katherine Cano Ladino, Syed Zafar, Teresa Cervero, Xavier Martorell Bofill, Behzad Salami 0001 Makinote: An FPGA-Based HW/SW Platform for Pre-Silicon Emulation of RISC-V Designs. Search on Bibsonomy RAPIDO@HiPEAC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Alexander Gebhard, Jack Forden, Oliver Laufenberg, Dennis Brylow Using Embedded Xinu to Teach Operating Systems on Baremetal RISC-V. Search on Bibsonomy SIGCSE (1) The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Chen Bai, Jianwang Zhai, Yuzhe Ma, Bei Yu 0001, Martin D. F. Wong Towards Automated RISC-V Microarchitecture Design with Reinforcement Learning. Search on Bibsonomy AAAI The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Vatistas Kostalabros, Jordi Ribes-González, Oriol Farràs, Miquel Moretó, Carles Hernández 0001 A Safety-Critical, RISC-V SoC Integrated and ASIC-Ready Classic McEliece Accelerator. Search on Bibsonomy ARC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Yipeng Wang 0017, Mengtian Yang, Chieh-Pu Lo, Jaydeep P. Kulkarni 30.6 Vecim: A 289.13GOPS/W RISC-V Vector Co-Processor with Compute-in-Memory Vector Register File for Efficient High-Performance Computing. Search on Bibsonomy ISSCC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Maico Cassel dos Santos, Tianyu Jia, Joseph Zuckerman, Martin Cochet, Davide Giri, Erik Jens Loscalzo, Karthik Swaminathan, Thierry Tambe, Jeff Jun Zhang, Alper Buyuktosunoglu, Kuan-Lin Chiu, Giuseppe Di Guglielmo, Paolo Mantovani, Luca Piccolboni, Gabriele Tombesi, David Trilla, John-David Wellman, En-Yu Yang, Aporva Amarnath, Ying Jing, Bakshree Mishra, Joshua Park, Vignesh Suresh, Sarita V. Adve, Pradip Bose, David Brooks 0001, Luca P. Carloni, Kenneth L. Shepard, Gu-Yeon Wei 14.5 A 12nm Linux-SMP-Capable RISC-V SoC with 14 Accelerator Types, Distributed Hardware Power Management and Flexible NoC-Based Data Orchestration. Search on Bibsonomy ISSCC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Tamonash Bhattacharyya, Prasun Ghosal, Sonam, Sujay Deb Vigil: A RISC-V SoC Architecture for 2-fold Hybrid CNN-kNN based Fall Detector Implementation on FPGA. Search on Bibsonomy VLSID The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Julian Oppermann, Brindusa Mihaela Damian-Kosterhon, Florian Meisel, Tammo Mürmann, Eyck Jentzsch, Andreas Koch 0001 Longnail: High-Level Synthesis of Portable Custom Instruction Set Extensions for RISC-V Processors from Descriptions in the Open-Source CoreDSL Language. Search on Bibsonomy ASPLOS (3) The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Gregory K. Chen, Phil C. Knag, Carlos Tokunaga, Ram K. Krishnamurthy An Eight-Core RISC-V Processor With Compute Near Last Level Cache in Intel 4 CMOS. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Bing-Chen Wu, Wei-Ting Chen, Tsung-Te Liu An Error-Resilient RISC-V Microprocessor With a Fully Integrated DC-DC Voltage Regulator for Near-Threshold Operation in 28-nm CMOS. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Khai-Minh Ma, Duc-Hung Le, Cong-Kha Pham, Trong-Thuc Hoang Design of an SoC Based on 32-Bit RISC-V Processor with Low-Latency Lightweight Cryptographic Cores in FPGA. Search on Bibsonomy Future Internet The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Geraldine Shirley Nicholas, Dhruvakumar Vikas Aklekar, Bhavin Thakar, Fareena Saqib Secure Instruction and Data-Level Information Flow Tracking Model for RISC-V. Search on Bibsonomy Cryptogr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Pablo Vizcaino, Filippo Mantovani, Roger Ferrer, Jesús Labarta Acceleration with long vector architectures: Implementation and evaluation of the FFT kernel on NEC SX-Aurora and RISC-V vector extension. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Yuankang Zhao, Salim Ullah, Siva Satyendra Sahoo, Akash Kumar 0001 NvMISC: Toward an FPGA-Based Emulation Platform for RISC-V and Nonvolatile Memories. Search on Bibsonomy IEEE Embed. Syst. Lett. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Chengbo Zhang, Peiyong Zhang, Shengrui Zheng, Zhao Yang, Rui Liu, Kaitian Huang An Efficient Self-Healing Architecture for Improving the RAS Characteristics of RISC-V Server and Its Quantitative Evaluation Method. Search on Bibsonomy IEEE Embed. Syst. Lett. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Roberto Molina-Robles, Alfredo Arnaud, Matías R. Miguez, Joel Gak, Alfonso Chacón-Rodríguez, Ronny García-Ramírez An Energy Consumption Benchmark for a Low-Power RISC-V Core Aimed at Implantable Medical Devices. Search on Bibsonomy IEEE Embed. Syst. Lett. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Martin Snelgrove, Robert Beachler speedAI240: A 2-Petaflop, 30-Teraflops/W At-Memory Inference Acceleration Device With 1456 RISC-V Cores. Search on Bibsonomy IEEE Micro The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Yinan Xu 0001, Zihao Yu, Dan Tang, Ye Cai, Dandan Huan, Wei He, Ninghui Sun, Yungang Bao Toward Developing High-Performance RISC-V Processors Using Agile Methodology. Search on Bibsonomy IEEE Micro The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Li Zhang, Qishen Lv, Di Gao, Xian Zhou, Wenchao Meng, Qinmin Yang, Cheng Zhuo A fine-grained mixed precision DNN accelerator using a two-stage big-little core RISC-V MCU. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Enfang Cui, Tianzheng Li, Qian Wei RISC-V Instruction Set Architecture Extensions: A Survey. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Ionel Zagan, Vasile Gheorghita Gaitan Custom Soft-Core RISC Processor Validation Based on Real-Time Event Handling Scheduler FPGA Implementation. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Peng Wang, Zhi-Bin Yu LLVM RISC-V RV32X Graphics Extension Support and Characteristics Analysis of Graphics Programs. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Hyun Woo Oh, Seung Eun Lee The Design of Optimized RISC Processor for Edge Artificial Intelligence Based on Custom Instruction Set Extension. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 2201 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license