Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
19 | Jin Sun 0001, Xin Li, Zhichao Lian, Min Li |
Stochastic Delay Characterization for Multicoupled RLC Interconnects Under Process Variations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Circuits Syst. Comput. ![In: J. Circuits Syst. Comput. 28(9), pp. 1950152:1-1950152:20, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
19 | Anas El Ankouri, Luiz Anet Neto, Ali Sanhaji, Sylvain Barthomeuf, Hugues Le Bras, Bertrand Le Guyader, Abdellatif Chagdali, Minqi Wang, Naveena Genay, Kamil Grzybowski, Sophie Durel, Philippe Chanclou |
Experimental Demonstration of Real-time PDCP-RLC V-RAN Split Transmission over Fixed XGS-PON access. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1902.06440, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP BibTeX RDF |
|
19 | Anas El Ankouri, Luiz Anet Neto, Sylvain Barthomeuf, Ali Sanhaji, Bertrand Le Guyader, Kamil Grzybowski, Sophie Durel, Philippe Chanclou |
Experimental Assessment of Real-time PDCP-RLC V-RAN Split Transmission with 20 Gbit/s PAM4 Optical Access. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1902.06437, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP BibTeX RDF |
|
19 | Mario Alan Quiroz-Juárez, Omar Jiménez-Ramírez, José Luis Aragón-Vera, José Luis Del-Río-Correa, Ruben Vázquez-Medina |
Periodically kicked network of RLC oscillators to produce ECG signals. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Biol. Medicine ![In: Comput. Biol. Medicine 104, pp. 87-96, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
19 | Ali Rohan, Sung Ho Kim |
RLC Fault Detection Based on Image Processing and Artificial Neural Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Fuzzy Log. Intell. Syst. ![In: Int. J. Fuzzy Log. Intell. Syst. 19(2), pp. 78-87, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
19 | Timothy H. Hughes, Alessandro Morelli, Malcolm C. Smith |
On a concept of genericity for RLC networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Syst. Control. Lett. ![In: Syst. Control. Lett. 134, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
19 | Thomas Valerrian Pasca Santhappan, Himank Gupta, Sumanta Patro, Bheemarjuna Reddy Tamma, A. Antony Franklin |
LTE-Wi-Fi Radio Level Integration at RLC Layer: A Demo of LWIR. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMSNETS ![In: 11th International Conference on Communication Systems & Networks, COMSNETS 2019, Bengaluru, India, January 7-11, 2019, pp. 553-555, 2019, IEEE, 978-1-5386-7902-9. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
19 | Charalampos Antoniadis, Nestor E. Evmorfopoulos, Georgios I. Stamoulis |
A Rigorous Approach for the Sparsification of Dense Matrices in Model Order Reduction of RLC Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 56th Annual Design Automation Conference 2019, DAC 2019, Las Vegas, NV, USA, June 02-06, 2019, pp. 68, 2019, ACM, 978-1-4503-6725-7. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
19 | Muzaffer Ates, Samira Laribi |
New results on the global asymptotic stability of certain nonlinear RLC circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Turkish J. Electr. Eng. Comput. Sci. ![In: Turkish J. Electr. Eng. Comput. Sci. 26(1), pp. 434-441, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
19 | Zhan Li, Ziguang Yin |
A Method for Controlling Parallel and Series RLC Circuits with Time-Varying Resistance, Inductance and Capacitance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Circuits Syst. Signal Process. ![In: Circuits Syst. Signal Process. 37(6), pp. 2629-2638, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
19 | Rajeev Kumar 0003, Andrea Francini, Shivendra S. Panwar, Sameerkumar Sharma |
Dynamic control of RLC buffer size for latency minimization in mobile RAN. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WCNC ![In: 2018 IEEE Wireless Communications and Networking Conference, WCNC 2018, Barcelona, Spain, April 15-18, 2018, pp. 1-6, 2018, IEEE, 978-1-5386-1734-2. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
19 | Anas El Ankouri, L. Anet Neto, Ali Sanhaji, Sylvain Barthomeuf, Hugues Le Bras, Bertrand Le Guyader, Abdellatif Chagdali, Minqi Wang, Naveena Genay, Kamil Grzybowski, Sophie Durel, Philippe Chanclou |
Experimental Demonstration of Real-Time PDCP-RLC V-RAN Split Transmission Over Fixed XGS-PON Access. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECOC ![In: European Conference on Optical Communication, ECOC 2018, Rome, Italy, September 23-27, 2018, pp. 1-3, 2018, IEEE, 978-1-5386-4862-9. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
19 | Anas El Ankouri, L. Anet Neto, Sylvain Barthomeuf, Ali Sanhaji, Bertrand Le Guyader, Kamil Grzybowski, Sophie Durel, Philippe Chanclou |
Experimental Assessment of Real-Time PDCP-RLC V-RAN Split Transmission with 20 Gbit/s PAM4 Optical Access. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECOC ![In: European Conference on Optical Communication, ECOC 2018, Rome, Italy, September 23-27, 2018, pp. 1-3, 2018, IEEE, 978-1-5386-4862-9. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
19 | Ling Zhou, Zhi-zhong Tan, Qing-hua Zhang |
A fractional-order multifunctional n-step honeycomb RLC circuit network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Frontiers Inf. Technol. Electron. Eng. ![In: Frontiers Inf. Technol. Electron. Eng. 18(8), pp. 1186-1196, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
19 | Zhi-zhong Tan, Hong Zhu, Jihad H. Asad, Chen Xu 0005, Hua Tang |
Characteristic of the equivalent impedance for an m×n RLC network with an arbitrary boundary. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Frontiers Inf. Technol. Electron. Eng. ![In: Frontiers Inf. Technol. Electron. Eng. 18(12), pp. 2070-2081, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
19 | Muhammad Sanaullah, Masud H. Chowdhury |
Analytical Models of High-Speed RLC Interconnect Delay for Complex and Real Poles. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 25(6), pp. 1831-1841, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
19 | Yuichi Tanji |
Efficient Balanced Truncation for RC and RLC Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. ![In: IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 100-A(1), pp. 266-274, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
19 | Yuichi Tanji |
Bounded Real Balanced Truncation of RLC Networks with Reciprocity Consideration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. ![In: IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 100-A(12), pp. 2816-2823, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
19 | Alessandro N. Vargas, Gisela Pujol, Leonardo Acho |
Stability of Markov jump systems with quadratic terms and its application to RLC circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Frankl. Inst. ![In: J. Frankl. Inst. 354(1), pp. 332-344, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
19 | Timothy H. Hughes |
Why RLC Realizations of Certain Impedances Need Many More Energy Storage Elements Than Expected. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Autom. Control. ![In: IEEE Trans. Autom. Control. 62(9), pp. 4333-4346, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
19 | Mohammad Fawaz, Farid N. Najm |
Fast Vectorless RLC Grid Verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 36(3), pp. 489-502, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
19 | Zahi Moudallal, Farid N. Najm |
Generating Current Constraints to Guarantee RLC Power Grid Safety. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 22(4), pp. 66:1-66:39, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
19 | Shanshan Wu, Huaizhong Lin, Wenxiang Wang, Dongming Lu, Leong Hou U, Yunjun Gao |
RLC: ranking lag correlations with flexible sliding windows in data streams. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Pattern Anal. Appl. ![In: Pattern Anal. Appl. 20(2), pp. 601-611, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
19 | Mohammad Saeed Sarafraz, Mohammad Saleh Tavazoei |
Passive Realization of Fractional-Order Impedances by a Fractional Element and RLC Components: Conditions and Procedure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. I Regul. Pap. ![In: IEEE Trans. Circuits Syst. I Regul. Pap. 64-I(3), pp. 585-595, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
19 | Takuichi Hirano |
Relationship between Q factor and complex resonant frequency: investigations using RLC series circuit. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Electron. Express ![In: IEICE Electron. Express 14(21), pp. 20170941, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
19 | Hong Li 0002, Chao Feng, Zhichang Yang, Zhichao Yang 0004 |
An improved ferrite choke RLC model and its parameters determination method. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IECON ![In: IECON 2017 - 43rd Annual Conference of the IEEE Industrial Electronics Society, Beijing, China, October 29 - November 1, 2017, pp. 6995-6999, 2017, IEEE, 978-1-5386-1127-2. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
19 | Avraham Feintuch, Bruce A. Francis |
On series connection of infinitely many RLC two-ports. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Math. Control. Signals Syst. ![In: Math. Control. Signals Syst. 28(1), pp. 2:1-2:13, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Sheng-Lyang Jang, Wei-Chung Cheng, Ching-Wen Hsue |
Wide-Locking Range Divide-by-3 Injection-Locked Frequency Divider Using Sixth-Order RLC Resonator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 24(7), pp. 2598-2602, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Xiaoyuan Wang, Herbert H. C. Iu, Guangyi Wang, Wei Liu |
Study on Time Domain Characteristics of Memristive RLC Series Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Circuits Syst. Signal Process. ![In: Circuits Syst. Signal Process. 35(11), pp. 4129-4138, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Mummaneni Kavicharan, Nukala Suryanarayana Murthy, N. Bheema Rao, Addanki Prathima |
Modeling and Analysis of On-Chip Single and H-tree Distributed RLC Interconnects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Circuits Syst. Signal Process. ![In: Circuits Syst. Signal Process. 35(9), pp. 3049-3065, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Timothy H. Hughes |
Why RLC realizations of certain impedances need many more energy storage elements than expected. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1611.06258, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP BibTeX RDF |
|
19 | José Francisco Gómez-Aguilar, Victor Fabian Morales-Delgado, Marco Antonio Taneco-Hernández, Dumitru Baleanu, Ricardo Fabricio Escobar-Jiménez, Maysaa Mohamed Al Qurashi |
Analytical Solutions of the Electrical RLC Circuit via Liouville-Caputo Operators with Local and Non-Local Kernels. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Entropy ![In: Entropy 18(8), pp. 402, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Wen-Cheng Lai, Sheng-Lyang Jang, Ho Chang Lee, Shih-Jie Jian |
Wide-Locking Range Divide-by-4 Injection-Locked Frequency Divider Using Dual-Resonance RLC Resonator for Biomedical Sensor Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BIBE ![In: 16th IEEE International Conference on Bioinformatics and Bioengineering, BIBE 2016, Taichung, Taiwan, October 31 - November 2, 2016, pp. 150-153, 2016, IEEE Computer Society, 978-1-5090-3834-3. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Prashant Sharma, Ajay Brahmakshatriya, Thomas Valerrian Pasca S., Bheemarjuna Reddy Tamma, A. Antony Franklin |
LWIR: LTE-WLAN Integration at RLC Layer with Virtual WLAN Scheduler for Efficient Aggregation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GLOBECOM ![In: 2016 IEEE Global Communications Conference, GLOBECOM 2016, Washington, DC, USA, December 4-8, 2016, pp. 1-6, 2016, IEEE, 978-1-5090-1328-9. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Anup Kumar Paul, Hidehiko Kawakami, Atsuo Tachibana, Teruyuki Hasegawa |
An AQM based congestion control for eNB RLC in 4G/LTE network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCECE ![In: 2016 IEEE Canadian Conference on Electrical and Computer Engineering, CCECE 2016, Vancouver, BC, Canada, May 15-18, 2016, pp. 1-5, 2016, IEEE, 978-1-4673-8721-7. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Ritesh Shreevastav, Ricardo Simon Carbajo |
Dynamic RLC mode based upon link adaptation to reduce latency and improve throughput in cellular networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
UEMCON ![In: 7th IEEE Annual Ubiquitous Computing, Electronics & Mobile Communication Conference, UEMCON 2016, New York City, NY, USA, October 20-22, 2016, pp. 1-6, 2016, IEEE, 978-1-5090-1496-5. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Yuichi Tanji |
Fast Transient Simulation of Large Scale RLC Networks Including Nonlinear Elements with SPICE Level Accuracy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. ![In: IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 98-A(5), pp. 1067-1076, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
19 | Rui Zhou 0011, Diyi Chen, Herbert H. C. Iu |
Fractional-Order 2 × n RLC Circuit Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Circuits Syst. Comput. ![In: J. Circuits Syst. Comput. 24(9), pp. 1550142:1-1550142:25, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
19 | Mohammad Saeed Sarafraz, Mohammad Saleh Tavazoei |
Realizability of Fractional-Order Impedances by Passive Electrical Networks Composed of a Fractional Capacitor and RLC Components. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. I Regul. Pap. ![In: IEEE Trans. Circuits Syst. I Regul. Pap. 62-I(12), pp. 2829-2835, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
19 | Jakob L. Buthler, Troels B. Sørensen |
RLC SDU Loss and Arrival Delay in Multi-SIM UEs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTC Fall ![In: IEEE 82nd Vehicular Technology Conference, VTC Fall 2015, Boston, MA, USA, September 6-9, 2015, pp. 1-5, 2015, IEEE, 978-1-4799-8091-8. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
19 | Timo Reis |
Mathematical Modeling and Analysis of Nonlinear Time-Invariant RLC Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Large-Scale Networks in Engineering and Life Sciences ![In: Large-Scale Networks in Engineering and Life Sciences, pp. 125-198, 2014, Springer, 978-3-319-08436-7. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
19 | Muhammad Sanaullah, Masud H. Chowdhury |
A new real pole delay model for RLC interconnect using second order approximation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MWSCAS ![In: IEEE 57th International Midwest Symposium on Circuits and Systems, MWSCAS 2014, College Station, TX, USA, August 3-6, 2014, pp. 238-241, 2014, IEEE, 978-1-4799-4134-6. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
19 | Nicodemus Banagaaya, Giuseppe Alì, Wil H. A. Schilders, Caren Tischendorf |
Implicit index-aware model order reduction for RLC/RC networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation & Test in Europe Conference & Exhibition, DATE 2014, Dresden, Germany, March 24-28, 2014, pp. 1-6, 2014, European Design and Automation Association, 978-3-9815370-2-4. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
19 | Sanidhya Kashyap, Jaspal Singh Dhillon, Suresh Purini |
RLC - A Reliable Approach to Fast and Efficient Live Migration of Virtual Machines in the Clouds. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE CLOUD ![In: 2014 IEEE 7th International Conference on Cloud Computing, Anchorage, AK, USA, June 27 - July 2, 2014, pp. 360-367, 2014, IEEE Computer Society, 978-1-4799-5063-8. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
19 | Muhammad Sanaullah, Masud H. Chowdhury |
Analysis of RLC interconnect delay model using second order approximation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: IEEE International Symposium on Circuits and Systemss, ISCAS 2014, Melbourne, Victoria, Australia, June 1-5, 2014, pp. 2756-2759, 2014, IEEE, 978-1-4799-3431-7. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
19 | Chuanshi Yang, Jun Feng, Yuanlong Zhou |
A 22Gb/s VCSEL driver using emphasis technique for ringing correction in 3rd-order RLC network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCIT ![In: 14th International Symposium on Communications and Information Technologies, ISCIT 2014, Incheon, South Korea, September 24-26, 2014, pp. 194-198, 2014, IEEE, 978-1-4799-4416-3. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
19 | Ifigeneia Apostolopoulou, Konstantis Daloukas, Nestor E. Evmorfopoulos, George I. Stamoulis |
Selective Inversion of Inductance Matrix for Large-Scale Sparse RLC Simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: The 51st Annual Design Automation Conference 2014, DAC '14, San Francisco, CA, USA, June 1-5, 2014, pp. 119:1-119:6, 2014, ACM, 978-1-4503-2730-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
19 | Ahmed G. Radwan, Mohamed E. Fouda |
Optimization of Fractional-Order RLC Filters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Circuits Syst. Signal Process. ![In: Circuits Syst. Signal Process. 32(5), pp. 2097-2118, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | Xuanxing Xiong, Jia Wang |
Verifying RLC Power Grids With Transient Current Constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 32(7), pp. 1059-1071, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | J. V. R. Ravindra, Pandurangaiah Yagateela, L. V. Narasimha Prasad |
A Novel Analytical Model for Analysis of Delay and Crosstalk in Non Linear RLC Interconnects for Ultra Low Power Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
UKSim ![In: 15th International Conference on Computer Modelling and Simulation, UKSim 2013, Cambridge, United Kingdom, April 10-12, 2013, pp. 798-802, 2013, IEEE, 978-1-4673-6421-8. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | Igor M. Filanovsky, Chris J. M. Verhoeven |
RLC-oscillator with smooth transition from sinusoidal to relaxation oscillations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MWSCAS ![In: IEEE 56th International Midwest Symposium on Circuits and Systems, MWSCAS 2013, Columbus, OH, USA, August 4-7, 2013, pp. 145-148, 2013, IEEE. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | Sampo Tuuna, Ethiopia Nigussie, Jouni Isoaho, Hannu Tenhunen |
Modeling of Energy Dissipation in RLC Current-Mode Signaling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 20(6), pp. 1146-1151, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Kai Wang 0017, Michael Z. Q. Chen |
Generalized Series-Parallel RLC Synthesis Without Minimization for Biquadratic Impedances. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. II Express Briefs ![In: IEEE Trans. Circuits Syst. II Express Briefs 59-II(11), pp. 766-770, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Weiyan Ge, Rohit Kapoor, Danlu Zhang, Sharad Sambhwani, Mario Scipione |
System performance of Inter-NodeB MF-HSDPA with RLC and MAC enhancements. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICC ![In: Proceedings of IEEE International Conference on Communications, ICC 2012, Ottawa, ON, Canada, June 10-15, 2012, pp. 6071-6075, 2012, IEEE, 978-1-4577-2052-9. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Chun-Ling Yang, Wang-Hua Mo, Z. Jane Wang 0001 |
A hybrid RLC-Turbo codec scheme in Distributed Video Coding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICASSP ![In: 2012 IEEE International Conference on Acoustics, Speech and Signal Processing, ICASSP 2012, Kyoto, Japan, March 25-30, 2012, pp. 1205-1208, 2012, IEEE, 978-1-4673-0046-9. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Dalibor L. Sekulic, Milos B. Zivanov |
Computational study on soliton-like pulses in the nonlinear RLC transmission lines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MIPRO ![In: 2012 Proceedings of the 35th International Convention, MIPRO 2012, Opatija, Croatia, May 21-25, 2012, pp. 228-232, 2012, IEEE, 978-1-4673-2577-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP BibTeX RDF |
|
19 | R. Marshall |
Atomic and molecular level modeling of genetic strings using generalized RLC circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HIS ![In: 12th International Conference on Hybrid Intelligent Systems, HIS 2012, Pune, India, December 4-7, 2012, pp. 12-19, 2012, IEEE, 978-1-4673-5114-0. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Fatang Chen, Qin Tuo, Zhongjun Jiang |
Design and test of RLC sub-layer multi-instance mode in long term evolution system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BMEI ![In: 5th International Conference on BioMedical Engineering and Informatics, BMEI 2012, Chongqing, China, October 16-18, 2012, pp. 1439-1442, 2012, IEEE, 978-1-4673-1183-0. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Gunti Nagendra Babu, Brajesh Kumar Kaushik, Anand Bulusu, Manoj Kumar Majumder |
Low Complexity Encoder for Crosstalk Reduction in RLC Modeled Interconnects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VDAT ![In: Progress in VLSI Design and Test - 16th International Symposium, VDAT 2012, Shibpur, India, July 1-4, 2012. Proceedings, pp. 40-45, 2012, Springer, 978-3-642-31493-3. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Sourajeet Roy, Anestis Dounavis |
Efficient Delay and Crosstalk Modeling of RLC Interconnects Using Delay Algebraic Equations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 19(2), pp. 342-346, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Frédéric Overney, Blaise Jeanneret |
RLC Bridge Based on an Automated Synchronous Sampling System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Instrum. Meas. ![In: IEEE Trans. Instrum. Meas. 60(7), pp. 2393-2398, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Ahmed M. Soliman |
Generation of Cfoa, CCII and Dvcc Based oscillators from Passive RLC Filter. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Circuits Syst. Comput. ![In: J. Circuits Syst. Comput. 20(4), pp. 621-639, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Pekka Miettinen, Mikko Honkala, Janne Roos, Martti Valtonen |
PartMOR: Partitioning-Based Realizable Model-Order Reduction Method for RLC Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 30(3), pp. 374-387, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Nahi H. Abdul Ghani, Farid N. Najm |
Fast Vectorless Power Grid Verification Under an RLC Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 30(5), pp. 691-703, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | RueyFong Chang, WenShiow Kao, ChenWei Chang, KuoHsiung Tseng, ShihYing Huang |
A novel structural modeling and analysis of VLSI interconnect with an RLC tree network system using a BG/SEBD approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sci. China Inf. Sci. ![In: Sci. China Inf. Sci. 54(9), pp. 1968-1985, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Xuanxing Xiong, Jia Wang |
Vectorless verification of RLC power grids with transient current constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2011 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2011, San Jose, California, USA, November 7-10, 2011, pp. 548-554, 2011, IEEE Computer Society, 978-1-4577-1399-6. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Alaa R. Abdullah, Adnan Kabbani, Kaamran Raahemifar |
Mapping the AWE-RLC model into a simple RC circuit with its application to buffer insertion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCECE ![In: Proceedings of the 24th Canadian Conference on Electrical and Computer Engineering, CCECE 2011, Niagara Falls, Ontario, Canada, 8-11 May, 2011, pp. 152-155, 2011, IEEE, 978-1-4244-9788-1. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Abinash Roy, Jingye Xu, Masud H. Chowdhury |
Analysis of the Impacts of Signal Slew and Skew on the Behavior of Coupled RLC Interconnects for Different Switching Patterns. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 18(2), pp. 338-342, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
19 | Sheng-Guo Wang, Ben Wang |
Modeling of Distributed RLC Interconnect and Transmission Line via Closed Forms and Recursive Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 18(1), pp. 119-130, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
19 | Jie Xu, Pascal Chargé, Daniele Fournier-Prunaret, Abdel-Kaddous Taha, Keping Long |
Chaos generator for secure transmission using a sine map and an RLC series circuit. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sci. China Inf. Sci. ![In: Sci. China Inf. Sci. 53(1), pp. 129-136, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
19 | P. V. Hunagund, A. B. Kalpana |
Crosstalk Noise Modeling for RC and RLC interconnects in Deep Submicron VLSI Circuits ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1004.4458, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP BibTeX RDF |
|
19 | Wan Mariam Wan Muda, Victor Sreeram, Herbert Ho-Ching Iu |
Passivity-preserving frequency weighted model order reduction techniques for general large-scale RLC systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICARCV ![In: 11th International Conference on Control, Automation, Robotics and Vision, ICARCV 2010, Singapore, 7-10 December 2010, Proceedings, pp. 1310-1315, 2010, IEEE, 978-1-4244-7814-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
19 | Erik I. Verriest, Jan C. Willems |
The behavior of linear time invariant RLC circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CDC ![In: Proceedings of the 49th IEEE Conference on Decision and Control, CDC 2010, December 15-17, 2010, Atlanta, Georgia, USA, pp. 7754-7758, 2010, IEEE, 978-1-4244-7745-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
19 | Nestoras E. Evmorfopoulos, Maria-Aikaterini Rammou, George I. Stamoulis, John Moondanos |
Characterization of the worst-case current waveform excitations in general RLC-model power grid analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2010 International Conference on Computer-Aided Design, ICCAD 2010, San Jose, CA, USA, November 7-11, 2010, pp. 824-830, 2010, IEEE, 978-1-4244-8192-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
19 | Asiya Khan, Lingfen Sun, Emmanuel C. Ifeachor, Jose Oscar Fajardo, Fidel Liberal |
Impact of RLC losses on quality prediction for H.264 video over UMTS networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICME ![In: Proceedings of the 2010 IEEE International Conference on Multimedia and Expo, ICME 2010, 19-23 July 2010, Singapore, pp. 702-707, 2010, IEEE Computer Society, 978-1-4244-7491-2. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
19 | Walter James Condley, Xuchu Hu, Matthew R. Guthaus |
Analysis of high-performance clock networks with RLC and transmission line effects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SLIP ![In: International Workshop on System Level Interconnect Prediction Workshop, SLIP 2010, Anaheim, CA, USA, June 13, 2010, pp. 51-58, 2010, ACM, 978-1-4503-0037-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
19 | Heba A. Shawkey, Magdy A. El-Moursy |
Modeling of RLC interconnect lines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2010), May 30 - June 2, 2010, Paris, France, pp. 3889-3892, 2010, IEEE, 978-1-4244-5308-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
19 | Massimo Alioto, Gaetano Palumbo, Massimo Poli |
Analysis and Modeling of Energy Consumption in RLC Tree Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 17(2), pp. 278, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
19 | Peng-Yang Hung, Ying-Shu Lou, Yih-Lang Li |
Minimum Shield Insertion on Full-Chip RLC Crosstalk Budgeting Routing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. ![In: IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 92-A(3), pp. 880-889, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
19 | Chia-Chun Tsai, Jan-Ou Wu, Trong-Yen Lee |
Maximal Delay Reduction for RLC-Based Multi-Source Multi-Sink Bus with Repeater Insertion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Circuits Syst. Signal Process. ![In: Circuits Syst. Signal Process. 28(6), pp. 805-817, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
19 | Fernando Castaños, Bayu Jayawardhana, Romeo Ortega, Eloísa García-Canseco |
Proportional Plus Integral Control for Set-Point Regulation of a Class of Nonlinear RLC Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Circuits Syst. Signal Process. ![In: Circuits Syst. Signal Process. 28(4), pp. 609-623, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
19 | Guoqing Chen, Eby G. Friedman |
Transient Response of a Distributed RLC Interconnect Based on Direct Pole Extraction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Circuits Syst. Comput. ![In: J. Circuits Syst. Comput. 18(7), pp. 1263-1285, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
19 | Sourajeet Roy, Anestis Dounavis |
Closed-Form Delay and Crosstalk Models for RLC On-Chip Interconnects Using a Matrix Rational Approximation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 28(10), pp. 1481-1492, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
19 | Ye Tao, Sung Kyu Lim |
Decoupling capacitor planning with analytical delay model on RLC power grid. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2009, Nice, France, April 20-24, 2009, pp. 839-844, 2009, IEEE, 978-1-4244-3781-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
19 | Dukgwon Lee, Seunghyun Beak, Youngmin Lee, Eunser Lee, Jungkook Kim, Gyung-Leen Park, Taikyeong Jeong |
Minimize the delay of parasitic capacitance and modeling in RLC circuit. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICHIT ![In: Proceedings of the 2009 International Conference on Hybrid Information Technology, ICHIT 2009, Daejeon, Korea, August 27-29, 2009, pp. 614-620, 2009, ACM, 978-1-60558-662-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Elmore, parasitic capacitance, delay, interconnection, oscillator |
19 | Dragan Kandic, Branimir Reljin |
On synthesis of immitance matrices of transformerless RLC networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECCTD ![In: 19th European Conference on Circuit Theory and Design, ECCTD 2009, Antalya, Turkey, August 23-27, 2009, pp. 303-306, 2009, IEEE, 978-1-4244-3896-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
19 | Md. Sajjad Rahaman, Masud H. Chowdhury |
Crosstalk Avoidance and Error-correction Coding for Coupled RLC Interconnects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2009), 24-17 May 2009, Taipei, Taiwan, pp. 141-144, 2009, IEEE, 978-1-4244-3827-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
19 | Tadashi Kawai, Yasuaki Nakashima, Yoshihiro Kokubo, Isao Ohta |
Dual-Band Wilkinson Power Dividers Using a Series RLC Circuit. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Electron. ![In: IEICE Trans. Electron. 91-C(11), pp. 1793-1797, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
19 | Taehoon Kim, Yungseon Eo |
Analytical CAD Models for the Signal Transients and Crosstalk Noise of Inductance-Effect-Prominent Multicoupled RLC Interconnect Lines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(7), pp. 1214-1227, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
19 | Duo Li, Sheldon X.-D. Tan |
Hierarchical Krylov subspace reduced order modeling of large RLC circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 13th Asia South Pacific Design Automation Conference, ASP-DAC 2008, Seoul, Korea, January 21-24, 2008, pp. 170-175, 2008, IEEE, 978-1-4244-1921-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
19 | Saleh A. Saleh, M. Azizur Rahman |
Performance testing of a 2 Loop RLC WM inverter-fed induction motor drive. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICARCV ![In: 10th International Conference on Control, Automation, Robotics and Vision, ICARCV 2008, Hanoi, Vietnam, 17-20 December 2008, Proceedings, pp. 1265-1270, 2008, IEEE, 978-1-4244-2286-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
19 | Jahangir Dadkhah Chimeh, Mohammad Hakkak, Hamidreza Bakhshi, Paeiz Azmi |
QoS Improvements Result from TCP/RLC and MAC in a Mobile Channel. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMAP ![In: SIGMAP 2008 - Proceedings of the International Conference on Signal Processing and Multimedia Applications, Porto, Portugal, July 26-29, 2008, SIGMAP is part of ICETE - The International Joint Conference on e-Business and Telecommunications, pp. 31-34, 2008, INSTICC Press, 978-989-8111-60-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP BibTeX RDF |
|
19 | Massimo Alioto, Gaetano Palumbo, Massimo Poli |
Energy evaluation in RLC tree circuits with exponential input. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICECS ![In: 15th IEEE International Conference on Electronics, Circuits and Systems, ICECS 2008, St. Julien's, Malta, August 31 2008-September 3, 2008, pp. 578-581, 2008, IEEE, 978-1-4244-2181-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
19 | Abinash Roy, Jingye Xu, Masud H. Chowdhury |
Impacts of signal slew and skew variations on delay uncertainty and crosstalk noise in coupled RLC global interconnects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICECS ![In: 15th IEEE International Conference on Electronics, Circuits and Systems, ICECS 2008, St. Julien's, Malta, August 31 2008-September 3, 2008, pp. 1055-1058, 2008, IEEE, 978-1-4244-2181-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
19 | Abinash Roy, Masud H. Chowdhury |
Analysis of the impacts of signal rise/fall time and skew variations in coupled-RLC interconnects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, USA, pp. 2426-2429, 2008, IEEE, 978-1-4244-1683-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
19 | Michael Makidis, George Xylomenos |
RLC protocol performance over TCP SACK. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WiOpt ![In: 6th International Symposium on Modeling and Optimization in Mobile, Ad Hoc, and Wireless Networks and Workshops, WIOPT 2008, March 31 - April 4, 2008, Berlin, Germany, pp. 135-140, 2008, IEEE, 978-963-9799-18-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
19 | Brajesh Kumar Kaushik, Sankar Sarkar, Rajendra Prasad Agarwal |
Waveform analysis and delay prediction for a CMOS gate driving RLC interconnect load. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Integr. ![In: Integr. 40(4), pp. 394-405, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
19 | Magdy A. El-Moursy, Eby G. Friedman |
Wire shaping of RLC interconnects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Integr. ![In: Integr. 40(4), pp. 461-472, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
19 | Jan-Ou Wu, Chia-Chun Tsai, Chung-Chieh Kuo, Trong-Yen Lee |
Zero-Skew Driven Buffered RLC Clock Tree Construction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. ![In: IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 90-A(3), pp. 651-658, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
19 | Bayu Jayawardhana, Romeo Ortega, Eloísa García-Canseco, Fernando Castaños |
Passivity of nonlinear incremental systems: Application to PI stabilization of nonlinear RLC circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Syst. Control. Lett. ![In: Syst. Control. Lett. 56(9-10), pp. 618-622, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|