The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for SOC with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1937-1972 (75) 1973 (84) 1974 (82) 1975 (87) 1976 (94) 1977 (77) 1978 (77) 1979 (98) 1980 (99) 1981 (119) 1982 (165) 1983 (91) 1984 (92) 1985 (92) 1986 (134) 1987 (124) 1988 (134) 1989 (54) 1990 (143) 1991 (149) 1992 (123) 1993 (116) 1994 (145) 1995 (183) 1996 (255) 1997 (257) 1998 (625) 1999 (719) 2000 (907) 2001 (775) 2002 (931) 2003 (1159) 2004 (1255) 2005 (1415) 2006 (1554) 2007 (1575) 2008 (1473) 2009 (1448) 2010 (1445) 2011 (1742) 2012 (1741) 2013 (1771) 2014 (1645) 2015 (1937) 2016 (1970) 2017 (1932) 2018 (2081) 2019 (1946) 2020 (2112) 2021 (2419) 2022 (2556) 2023 (2870) 2024 (970)
Publication types (Num. hits)
article(37376) book(6) data(5) incollection(72) inproceedings(8546) phdthesis(37) proceedings(80)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3961 occurrences of 1777 keywords

Results
Found 46124 publication records. Showing 46122 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
28Spyros Apostolacos, George Lykakis, Apostolos Meliones, Vassilis Vlagoulis, Emmanuel Touloupis, George E. Konstantoulakis Design, Implementation and Validation of an Open Source IP-PBX/VoIP Gateway SoC. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Jelte Peter Vink, Kees van Berkel 0001, Pieter van der Wolf Performance Analysis of SoC Architectures Based on Latency-Rate Servers. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Fu-Ching Yang, Jing-Kun Zhong, Ing-Jer Huang Verifying external interrupts of embedded microprocessor in SoC with on-chip bus. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Alastair David Reid, Krisztián Flautner, Edmund Grimley-Evans, Yuan Lin 0002 SoC-C: efficient programming abstractions for heterogeneous multicore systems on chip. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF energy efficiency, embedded, parallel language
28Jason D. Lee, Rabi N. Mahapatra In-field NoC-based SoC testing with distributed test vector storage. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Hualong Zhao, Hongshi Sang, Tianxu Zhang, Yebin Fan GEMI: A High Performance and High Flexibility Memory Interface Architecture for Complex Embedded SOC. Search on Bibsonomy CSSE (4) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Hristo Nikolov, Mark Thompson 0001, Todor P. Stefanov, Andy D. Pimentel, Simon Polstra, Raj Bose, Claudiu Zissulescu, Ed F. Deprettere Daedalus: toward composable multimedia MP-SoC design. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF system-level design and synthesis, design space exploration
28Mark Hammerquist, Roman L. Lysecky Design space exploration for application specific FPGAS in system-on-a-chip designs. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Divya Arora, Anand Raghunathan, Srivaths Ravi 0001, Murugan Sankaradass, Niraj K. Jha, Srimat T. Chakradhar Exploring Software Partitions for Fast Security Processing on a Multiprocessor Mobile SoC. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Rashid Rashidzadeh, Majid Ahmadi, William C. Miller Test and Measurement of Analog and RF Cores in Mixed-Signal SoC Environment. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Nicolas Saint-Jean, Pascal Benoit, Gilles Sassatelli, Lionel Torres, Michel Robert Application Case Studies on HS-Scale, a MP-SOC for Embbeded Systems. Search on Bibsonomy ICSAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Chandan Giri, Dilip Kumar Reddy Tipparthi, Santanu Chattopadhyay Genetic Algorithm Based Approach for Hierarchical SOC Test Scheduling. Search on Bibsonomy ICCTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Nicolas Saint-Jean, Gilles Sassatelli, Pascal Benoit, Lionel Torres, Michel Robert HS-Scale: a Hardware-Software Scalable MP-SOC Architecture for embedded Systems. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Yi Feng 0003, Zheng Zhou, Dong Tong 0001, Xu Cheng 0001 Clock domain crossing fault model and coverage metric for validation of SoC design. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Zhanglei Wang, Krishnendu Chakrabarty, Seongmoon Wang SoC testing using LFSR reseeding, and scan-slice-based TAM optimization and test scheduling. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Gerald Hempel, Christian Hochberger A resource optimized SoC Kit for FPGAs. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Tianjia Sun, Li Guo 0004 One New In-Operation Self-Testability Mechanism Designed for SoC Microchips following IEEE STD 1500. Search on Bibsonomy ICPP Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Dan Zhao, Ronghua Huang, Tomokazu Yoneda, Hideo Fujiwara Power-Aware Multi-Frequency Heterogeneous SoC Test Framework Design with Floor-Ceiling Packing. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Yehua Gu, Xiaoyang Zeng, Jun Han 0003, Jia Zhao A Low-cost and High-performance SoC Design for OMA DRM2 Applications. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Balal Ahmad, Ali Ahmadinia, Tughrul Arslan Hybrid Communication Medium for Adaptive SoC Architectures. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Baojun Qiao, Feng Shi 0009, Weixing Ji THIN: A New Hierarchical Interconnection Network-on-Chip for SOC. Search on Bibsonomy ICA3PP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multicast, System-on-Chip, Network-on-Chip, network topology
28Keisuke Takemori, Yutaka Miyake, Chie Ishida, Iwao Sasase A SOC Framework for ISP Federation and Attack Forecast by Learning Propagation Patterns. Search on Bibsonomy ISI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28V. Amudha, B. Venkataramani, R. Vinoth Kumar, S. Ravishankar SOC Implementation of HMM Based Speaker Independent Isolated Digit Recognition System. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Jingwei Wu, Richard C. Holt, Ahmed E. Hassan Empirical Evidence for SOC Dynamics in Software Evolution. Search on Bibsonomy ICSM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Hartwig Jeschke Design Space Expoloration Chip Size Estimation for SOC Design Space Exploration. Search on Bibsonomy ICSAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Frédéric Pétrot, Alain Greiner, Pascal Gomez On Cache Coherency and Memory Consistency Issues in NoC Based Shared Memory Multiprocessor SoC Architectures. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Jin-Ho Ahn, Sungho Kang SoC Test Scheduling Algorithm Using ACO-Based Rectangle Packing. Search on Bibsonomy ICIC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Kwang-Il Oh, Seunghyun Cho, Lee-Sup Kim A low power SoC bus with low-leakage and low-swing technique. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Divya Arora, Anand Raghunathan, Srivaths Ravi 0001, Murugan Sankaradass, Niraj K. Jha, Srimat T. Chakradhar Software architecture exploration for high-performance security processing on a multiprocessor mobile SoC. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF computation offloading, software partitioning
28Luca Benini, Davide Bertozzi, Alessandro Bogliolo, Francesco Menichelli, Mauro Olivieri MPARM: Exploring the Multi-Processor SoC Design Space with SystemC. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF system-on-chip simulation, design space exploration, multiprocessor embedded systems
28Cheng-Wen Wu SOC Testing Methodology and Practice. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Gyu Sang Choi, Jin-Ha Kim, Deniz Ersoz, Chita R. Das A multi-threaded PIPELINED Web server architecture for SMP/SoC machines. Search on Bibsonomy WWW The full citation details ... 2005 DBLP  DOI  BibTeX  RDF asynchronous multi-process event-driven, single event-driven process, symmetric multi-processor, system-on-chip, multi-thread, multi-process
28Jen-Yi Wuu, Tung-Chieh Chen, Yao-Wen Chang SoC test scheduling using the B-tree based floorplanning technique. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Shih Ping Lin 0001, Chung-Len Lee 0001, Jwu E. Chen Adaptive Encoding Scheme for Test Volume/Time Reduction in SoC Scan Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Sanggyu Park, Soo-Ik Chae A Two-Week Program for a Platform-Based SoC Design. Search on Bibsonomy MSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Adriano Sarmento, Lobna Kriaa, Arnaud Grasset, Mohamed-Wassim Youssef, Aimen Bouchhima, Frédéric Rousseau 0001, Wander O. Cesário, Ahmed Amine Jerraya Service dependency graph: an efficient model for hardware/software interfaces modeling and generation for SoC design. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF interface design automation, service-based model, systems-on-chip, hardware/software interfaces
28Yervant Zorian, Valery A. Vardanian, K. Aleksanyan, K. Amirkhanyan Impact of Soft Error Challenge on SoC Design. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Jin Lee, Sin-Chong Park Orthogonalized Communication Architecture for MP-SoC with Global Bus. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Sören Moch, Mladen Berekovic, Hans-Joachim Stolberg, Lars Friebe, Mark Bernd Kulaczewski, Andreas Dehnhardt, Peter Pirsch HIBRID-SOC: a multi-core architecture for image and video applications. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Jay Abraham, Guruprasad Rao Qualification and Integration of Complex I/O in SoC Design Flows. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Salim Ouadjaout, Dominique Houzet Easy SoC Design with VCI SystemC Adapters. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Michiaki Muraoka, Hiroaki Nishi, Rafael K. Morizawa, Hideaki Yokota, Hideyuki Hamada Design methodology for SoC arthitectures based on reusable virtual cores. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Unai Bidarte, Armando Astarloa, José Luis Martín 0001, Jon Andreu Simulation Platform for Architectural Verification and Performance Analysis in Core-Based SoC Design. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Anne Bigot, Fabrice Charpentier, Helena Krupnova, Isabelle Sans Deploying Hardware Platforms for SoC Validation: An Industrial Case Study. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Ozgur Sinanoglu, Alex Orailoglu Autonomous Yet Deterministic Test of SOC Cores. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Sandeep Koranne Design of reconfigurable access wrappers for embedded core based SoC test. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Pierre Wodey, Geoffrey Camarroque, Fabrice Baray, Richard Hersemeule, Jean-Philippe Cousin LOTOS Code Generation for Model Checking of STBus Based SoC: the STBus interconnect. Search on Bibsonomy MEMOCODE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Hans-Joachim Stolberg, Mladen Berekovic, Lars Friebe, Sören Moch, Sebastian Flügel, Xun Mao, Mark Bernd Kulaczewski, Heiko Klußmann, Peter Pirsch HiBRID-SoC: A Multi-Core System-on-Chip Architecture for Multimedia Signal Processing Applications. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Martin Schrader, Roderick McConnell SoC Design and Test Considerations. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Jouni Riihimäki, Väinö Helminen, Kimmo Kuusilinna, Timo D. Hämäläinen Distributing SoC Simulations over a Network of Computers. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Yu Huang 0005, Wu-Tung Cheng, Chien-Chung Tsai, Nilanjan Mukherjee 0001, Sudhakar M. Reddy Static Pin Mapping and SOC Test Scheduling for Cores with Multiple Test Sets. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28John Ferguson The Glue in a Confident SoC Flow. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF manufacturing requirements, gold standard, single tool flow, design-to-silicon, designstyle independence, confident data transfer, Integration
28Chun-Yao Wang, Shing-Wu Tung, Jing-Yang Jou On automatic-verification pattern generation for SoC withport-order fault model. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Chun-Yao Wang, Shing-Wu Tung, Jing-Yang Jou An automorphic approach to verification pattern generation for SoC design verification using port-order fault model. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Sandeep Koranne Design of Reconfigurable Access Wrappers for Embedded Core Based SoC Test. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Vikram Iyengar, Krishnendu Chakrabarty, Erik Jan Marinissen On Using Rectangle Packing for SOC Wrapper/TAM Co-Optimization. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Miron Abramovici, Charles E. Stroud, John Marty Emmert Using embedded FPGAs for SoC yield improvement. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Yu Huang 0005, Wu-Tung Cheng, Chien-Chung Tsai, Nilanjan Mukherjee 0001, Omer Samman, Yahya Zaidan, Sudhakar M. Reddy Resource Allocation and Test Scheduling for Concurrent Test of Core-Based SoC D. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
28Chun-Yao Wang, Shing-Wu Tung, Jing-Yang Jou An Improved AVPG Algorithm for SoC Design Verification Using Port Order Fault Model. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
28Mark Birnbaum, Charlene C. Johnson VSIA Quality Metrics for IP and SoC. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Chris Bartels, Jos Huisken, Kees Goossens, Patrick Groeneveld, Jef L. van Meerbergen Comparison of An Æthereal Network on Chip and A Traditional Interconnect for A Multi-Processor DVB-T System on Chip. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Faiz-ul Hassan, B. Cheng, Wim Vanderbauwhede, Fernando Rodríguez Salazar Impact of device variability in the communication structures for future synchronous SoC designs. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Joël Porquet, Christian Schwarz, Alain Greiner Multi-compartment: A new architecture for secure co-hosting on SoC. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Mohammad Arjomand, Hamid Sarbazi-Azad, S. Hamid Amiri Multi-Objective Genetic optimized multiprocessor SoC design. Search on Bibsonomy SoC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Ning Ma, Zhibo Pang, Hannu Tenhunen, Lirong Zheng 0001 An ASIC-design-based configurable SOC architecture for networked media. Search on Bibsonomy SoC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Manuel Ortiz, María Brox, Francisco Javier Quiles-Latorre, Andrés Gersnoviez, Carlos Diego Moreno-Moreno, M. Montijano Using soft processors for component design in SOC: A case-study of timers. Search on Bibsonomy SoC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Muhammad E. S. Elrabaa A two-phase return-to-zero (RZ) asynchronous transceiver circuit for pipe-lined SoC interconnects. Search on Bibsonomy SoC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Maoxiang Yi, Huaguo Liang, Zhengfeng Huang Balancing wrapper chains of SoC core based on best interchange decreasing. Search on Bibsonomy SoC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Heikki Orsila, Erno Salminen, Marko Hännikäinen, Timo Hämäläinen 0001 Optimal Subset Mapping And Convergence Evaluation of Mapping Algorithms for Distributing Task Graphs on Multiprocessor SoC. Search on Bibsonomy SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Sergio Tota, Mario R. Casu, Paolo Motto Ros, Massimo Ruo Roch, Maurizio Zamboni The NoCRay Graphic Accelerator: a Case-study for MP-SoC Network-on-Chip Design Methodology. Search on Bibsonomy SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Maher Assaad, David R. S. Cumming CMOS IC Design and Verilog-A Modelling of 10-Gb/s PLL-Based Deserializer for Inter-Chip Communication in SOC. Search on Bibsonomy SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Guido Schreiner Development of Complex SoC Devices Require New Design Technologies. Search on Bibsonomy SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Steve Leibson Reduce SOC Energy Consumption through Processor ISA Extension. Search on Bibsonomy SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Daniel Mesquita, Benoît Badrignans, Lionel Torres, Gilles Sassatelli, Michel Robert, Fernando Gehm Moraes A Leak Resistant SoC to Counteract Side Channel Attacks. Search on Bibsonomy SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Daniel Iancu, Hua Ye 0003, Vladimir Kotlyar, Murugappan Senthilvelan, John Glossner, Gary Nacer, Andrei Iancu, Jarmo Takala Analog Television, WiMAX and DVB-H on the Same SoC Platform. Search on Bibsonomy SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Chantal Ykman-Couvreur, Vincent Nollet, Francky Catthoor, Henk Corporaal Fast Multi-Dimension Multi-Choice Knapsack Heuristic for MP-SoC Run-Time Management. Search on Bibsonomy SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Leandro Soares Indrusiak Exploring Application-Level Concurrency in SoC Design. Search on Bibsonomy SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Tomi Westerlund, Juha Plosila Formal Modelling of Multiclocked SoC Systems. Search on Bibsonomy SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Steve Leibson The Future of Nanometer SOC Design. Search on Bibsonomy SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Nick Ilyadis "SOC challenges in the terabit networks era". Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Jian Wang, Gang Hua 0003 Implementing high definition video codec on TI DM6467 SOC. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Yuejian Wu, Sandy Thomson, Han Sun, Chandra Bontu, Eric Hall Built-in functional tests for fast validation of a 40Gbps coherent optical receiver SoC ASIC. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Bo Fu, Paul Ampadu A multi-wire error correction scheme for reliable and energy efficient SOC links using hamming product codes. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Qiaoyan Yu, Paul Ampadu Configurable error correction for multi-wire errors in switch-to-switch SOC links. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Sílvio R. F. de Fernandes, Bruno Cruz de Oliveira, Ivan Saraiva Silva Using NoC routers as processing elements. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SoC, system-on-chip, network-on-chip, routing algorithm, NoC, MP-SoC
26Suman Deb, Anupam Chattopadhyay, Avi Mendelson A RISC-V SoC with Hardware Trojans: Case Study on Trojan-ing the On-Chip Protocol Conversion. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Georgios Flamis, Stavros Kalapothas, Paris Kitsos FPGA-SoC Deployment of Complex Deep Neural Network for Magnitude and Phase Computations in Denoising of Speech Signal. Search on Bibsonomy VLSI-SoC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Chun-Jen Tsai, Yi-De Lee Embedded TCP/IP Controller for a RISC-V SoC. Search on Bibsonomy VLSI-SoC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Vasileios Leon, Elissaios-Alexios Papatheofanous, George Lentaris, Charalampos Bezaitis, Nikolaos Mastorakis, Georgios Bampilis, Dionysios I. Reisis, Dimitrios Soudris Combining Fault Tolerance Techniques and COTS SoC Accelerators for Payload Processing in Space. Search on Bibsonomy VLSI-SoC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Elissaios-Alexios Papatheofanous, Ph. Tziolos, V. Kalekis, Tzouma Amrou, George E. Konstantoulakis, Georgios Venitourakis, Dionysios I. Reisis SoC FPGA Acceleration for Semantic Segmentation of Clouds in Satellite Images. Search on Bibsonomy VLSI-SoC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Andrea Calimera, Pierre-Emmanuel Gaillardon, Kunal Korgaonkar, Shahar Kvatinsky, Ricardo Reis 0001 (eds.) VLSI-SoC: Design Trends - 28th IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2020, Salt Lake City, UT, USA, October 6-9, 2020, Revised and Extended Selected Papers Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
26Lilian Bossuet, El Mehdi Benhani Security Assessment of Heterogeneous SoC-FPGA: On the Practicality of Cache Timing Attacks. Search on Bibsonomy VLSI-SoC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
26Naina Gupta 0001, Anupam Chattopadhyay In Quest for Fast and Secure SoC. Search on Bibsonomy VLSI-SoC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
26Carolina Metzler, Pierre-Emmanuel Gaillardon, Giovanni De Micheli, Carlos Silva Cárdenas, Ricardo Reis 0001 (eds.) VLSI-SoC: New Technology Enabler - 27th IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2019, Cusco, Peru, October 6-9, 2019, Revised and Extended Selected Papers Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
26Tutu Ajayi, Sumanth Kamineni, Yaswanth K. Cherivirala, Morteza Fayazi, Kyumin Kwon, Mehdi Saligane, Shourya Gupta, Chien-Hen Chen, Dennis Sylvester, David T. Blaauw, Ronald G. Dreslinski, Benton H. Calhoun, David D. Wentzloff An Open-source Framework for Autonomous SoC Design with Analog Block Generation. Search on Bibsonomy VLSI-SOC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
26Brian Crafton, Samuel Spetalnick, Gauthaman Murali, Tushar Krishna, Sung Kyu Lim, Arijit Raychowdhury Statistical Array Allocation and Partitioning for Compute In-Memory Fabrics. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
26Tannu Sharma, Sumanth Kolluru, Kenneth S. Stevens Learning Based Timing Closure on Relative Timed Design. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
26Amin Aghighi, Behrouz Farhang-Boroujeny, Armin Tajalli Mixed-Mode Signal Processing for Implementing MCMC MIMO Detector. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
26Samuele Germiniani, Moreno Bragaglio, Graziano Pravadelli From Informal Specifications to an ABV Framework for Industrial Firmware Verification. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
26Josie Esteban Rodriguez Condia, Matteo Sonza Reorda Modular Functional Testing: Targeting the Small Embedded Memories in GPUs. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 46122 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license