The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase VLSI-SoC (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2001 (39) 2002-2003 (101) 2004-2005 (22) 2006 (98) 2007 (81) 2008 (16) 2009-2010 (103) 2011 (94) 2012 (75) 2013 (96) 2014 (58) 2015 (77) 2016 (62) 2017 (61) 2018 (64) 2019 (82) 2020 (59) 2021 (59) 2022 (92) 2023 (52)
Publication types (Num. hits)
article(5) inproceedings(1350) proceedings(36)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 8 occurrences of 7 keywords

Results
Found 1391 publication records. Showing 1391 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
51G. Fraidy Bouesse, Marc Renaudin, Gilles Sicard Improving DPA Resistance of Quasi Delay Insensitive Circuits Using Randomly Time-shifted Acknowledgment Signals. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
51Bertrand Folco, Vivian Brégier, Laurent Fesquet, Marc Renaudin Technology Mapping for Area Optimized Quasi Delay Insensitive Circuits. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
51Markus Koester, Heiko Kalte, Mario Porrmann, Ulrich Rückert 0001 Defragmentation Algorithms for Partially Reconfigurable Hardware. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
51Chul Kim, A. M. Rassau, Stefan Lachowicz, Saeid Nooshabadi, Kamran Eshraghian 3D-SoftChip: A Novel 3D Vertically Integrated Adaptive Computing System. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
51Erik Larsson, Stina Edbom Combined Test Data Selection and Scheduling for Test Quality Optimization under ATE Memory Depth Constraint. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
51Rüdiger Ebendt, Rolf Drechsler Exact BDD Minimization for Path-Related Objective Functions. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
51Jerome Quartana, Laurent Fesquet, Marc Renaudin Modular Asynchronous Network-on-Chip: Application to GALS Systems Rapid Prototyping. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
51Nabil Badereddine, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Christian Landrault Scan Cell Reordering for Peak Power Reduction during Scan Test Cycles. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
51Muhsen Aljada, Kamal E. Alameh, Adam Osseiran, Khalid Al-Begain A Novel MicroPhotonic Structure for Optical Header Recognition. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
51João M. S. Silva, L. Miguel Silveira Issues in Model Reduction of Power Grids. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
51Daniel Mesquita, Jean-Denis Techer, Lionel Torres, Michel Robert, Guy Cathébras, Gilles Sassatelli, Fernando Gehm Moraes Current Mask Generation: an Analog Circuit to Thwart DPA Attacks. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
51Jürgen Becker 0001, Alexander Thomas, Maik Scheer Asynchronous Integration of Coarse-Grained Reconfigurable XPP-Arrays Into Pipelined Risc Processor Datapath. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
51Alexandre M. Amory, Leandro A. Oliveira, Fernando Gehm Moraes Software-Based Test for Nonprogrammable Cores in Bus-Based System-On-Chip Architectures. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
51Thilo Pionteck, Lukusa D. Kabulepa, Manfred Glesner Exploring the Capabilities of Reconfigurable Hardware for OFDM-Based Wlans. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
51Vijay Degalahal, Rajaraman Ramanarayanan, Narayanan Vijaykrishnan, Yuan Xie 0001, Mary Jane Irwin Effect of Power Optimizations on Soft Error Rate. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
51Axel G. Braun, Djones Lettnin, Joachim Gerlach, Wolfgang Rosenstiel Automated Conversion of SystemC Fixed-Point Data Types. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
51Antonio Carlos Schneider Beck, Luigi Carro Low Power Java Processor for Embedded Applications. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
51Giuseppe Bonfini, Andrea S. Brogna, Roberto Saletti, Cristian Garbossa, Luca Colombini, Maurizio Bacci, Stefania Chicca, Franco Bigongiari A Switched Opamp Based 10 Bits Integrated ADC for Ultra Low Power Applications. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
51Cristiano Lazzari, Cristiano Viana Domingues, José Luís Güntzel, Ricardo Reis 0001 A Novel full Automatic Layout Generation Strategy for Static CMOS Circuits. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
51Stephan Henzler, Philip Teichmann, Markus Koban, Jörg Berthold, Georg Georgakos, Doris Schmitt-Landsiedel Impact of Gate Leakage on Efficiency of Circuit Block Switch-Off Schemes. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
51Jürgen Becker 0001, Michael Hübner 0001, Michael Ullmann Run-Time FPGA Reconfiguration for Power-/Cost-Optimized Real-time Systems. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
51José Augusto Miranda Nacif, Claudionor Nunes Coelho, Harry Foster, Flávio Miana de Paula, Edjard Mota, Márcia Roberta Falcão Mota, Antônio Otávio Fernandes On-Chip Property Verification Using Assertion Processors. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
51Thomas Hollstein, Ralf Ludewig, Heiko Zimmer, Christoph Mager, Simon Hohenstern, Manfred Glesner Hinoc: A Hierarchical Generic Approach for on-Chip Communication, Testing and Debugging of SoCs. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
51João M. S. Silva, Luís Miguel Silveira Dynamic Models for Substrate Coupling in Mixed-Mode Systems. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
51Casper Lageweg, Sorin Cotofana, Stamatis Vassiliadis Evaluation Methodology for Single Electron Encoded Threshold Logic Gates. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
51Wei Zou, Chris C. N. Chu, Sudhakar M. Reddy, Irith Pomeranz Optimizing SOC Test Resources Using Dual Sequences. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
51Eduardo A. C. da Costa, José C. Monteiro 0001, Sergio Bampi Gray Encoded Arithmetic Operators Applied to FFT and FIR Dedicated Datapaths. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
51Nicole Drechsler, Rolf Drechsler Exploration of Sequential Depth by Evolutionary Algorithms. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
51Dominique Borrione, Menouer Boubekeur, Laurent Mounier, Marc Renaudin, Antoine Siriani Validation of Asynchronous Circuit Specifications Using IF/CADP. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
51Valentina Ciriani, Anna Bernasconi 0001, Rolf Drechsler Stuck-At-Fault Testability of SPP Three-Level Logic Forms. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
49Giovanni De Micheli, Salvador Mir, Ricardo Reis 0001 (eds.) VLSI-SoC: Research Trends in VLSI and Systems on Chip - Fourteenth International Conference on Very Large Scale Integration of System on Chip (VLSI-SoC2006), October 16-18, 2006, Nice, France Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
49Shekhar Borkar Probabilistic amp; Statistical Design - the Wave of the Future. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
49Lakshmi N. Chakrapani, Jason George, Bo Marr, Bilge Saglam Akgul, Krishna V. Palem Probabilistic Design: A Survey of Probabilistic CMOS Technology and Future Directions for Terascale IC Design. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
49Giovanni Beltrame, Donatella Sciuto, Cristina Silvano A Power-Efficient Methodology for Mapping Applications on Multi-Processor, System-on-Chip Architectures. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
49Ittetsu Taniguchi, Keishi Sakanushi, Kyoko Ueda, Yoshinori Takeuchi, Masaharu Imai Dynamic Reconfigurable Architecture Exploration based on Parameterized Reconfigurable Processor Model. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
49Carlotta Guiducci, Claudio Stagni, M. Brocchi, Massimo Lanzoni, Bruno Riccò, Augusto Nascetti, Davide Caputo, A. De Cesare Innovative Optoeletronic Approaches to Biomolecular Analysis with Arrays of Silicon Devices. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
49Sam Kavusi, Kunal Ghosh, Abbas El Gamal Architectures for High Dynamic Range, High Speed Image Sensor Readout Circuits. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
49Ulrich Bockelmann Electronic Detection of DNA Adsorption and Hybridization. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
49Srinivasan Murali, Paolo Meloni, Federico Angiolini, David Atienza, Salvatore Carta, Luca Benini, Giovanni De Micheli, Luigi Raffo Designing Routing and Message-Dependent Deadlock Free Networks on Chips. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
49Anna Bernasconi 0001, Valentina Ciriani, Roberto Cordone Logic Synthesis of EXOR Projected Sum of Products. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
49Shan Jiang, Manh Anh Do, Kiat Seng Yeo A CMOS Mixed-Mode Sample-and-Hold Circuit for Pipelined ADCs. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
49Renato Fernandes Hentschke, Sandro Sawicki, Marcelo O. Johann, Ricardo Reis 0001 A Method for I/O Pins Partitioning Targeting 3D VLSI Circuits. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
49Ahcène Bounceur, Salvador Mir, Luís Rolíndez, Emmanuel Simeu CAT Platform for Analogue and Mixed-Signal Test Evaluation and Optimization. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
49Zeynep Toprak Deniz, Yusuf Leblebici, Eric A. Vittoz Configurable On-Line Global Energy Optimization in Multi-Core Embedded Systems Using Principles of Analog Computation. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
49Subhasish Mitra, Ming Zhang 0017, Norbert Seifert, T. M. Mak, Kee Sup Kim Soft Error Resilient System Design through Error Correction. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
49Arno Moonen, Chris Bartels, Marco Bekooij, René van den Berg, Harpreet Bhullar, Kees Goossens, Patrick Groeneveld, Jos Huisken, Jef L. van Meerbergen Comparison of an Æthereal Network on Chip and Traditional Interconnects - Two Case Studies. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
49Antonis Papanikolaou, Hua Wang, Miguel Miranda, Francky Catthoor, Wim Dehaene Reliability Issues in Deep Deep Submicron Technologies: Time-Dependent Variability and its Impact on Embedded System Design. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
49Robert K. Henderson, Bruce Rae, David R. Renshaw, Edoardo Charbon Oversampled Time Estimation Techniques for Precision Photonic Detectors. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
49Luís Guerra e Silva, Zhenhai Zhu, Joel R. Phillips, L. Miguel Silveira Library Compatible Variational Delay Computation. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
49Jeff Brateman, Changjiu Xian, Yung-Hsiang Lu Frequency and Speed Setting for Energy Conservation in Autonomous Mobile Robots. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
49Julien Penders, Bert Gyselinckx, Ruud J. M. Vullers, Olivier Rousseaux, Mladen Berekovic, Michael De Nil, Chris Van Hoof, Julien Ryckaert, Refet Firat Yazicioglu, Paolo Fiorini, Vladimir Leonov Human++: Emerging Technology for Body Area Networks. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
49Tsuyoshi Iwagaki, Satoshi Ohtake, Hideo Fujiwara Broadside Transition Test Generation for Partial Scan Circuits through Stuck-at Test Generation. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
42Michel Robert, Bruno Rouzeyre, Christian Piguet, Marie-Lise Flottes (eds.) SOC Design Methodologies, IFIP TC10/WG10.5 Eleventh International Conference on Very Large Scale Integration of Systems-on/Chip (VLSI-SOC'01), December 3-5, 2001, Montpellier, France Search on Bibsonomy VLSI-SOC The full citation details ... 2002 DBLP  BibTeX  RDF
42Zhihong Zeng, Maciej J. Ciesielski, Bruno Rouzeyre Functional Test Generation using Constraint Logic Programming. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Lounis Kessal, R. Bourguiba, Didier Demigny, N. Boudouani, Si Mahmoud Karabernou Reconfigurable Architecture Using High Speed FPGA. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Braulio Adriano de Mello, Flávio Rech Wagner A Standardized Co-simulation Backbone. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Stephen M. Pisuk, Peter Hsin-Yu Wu Design Considerations of a Low-Complexity, Low-Power Integer Turbo Decoder. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Gilles Sassatelli, Lionel Torres, Pascal Benoit, Gaston Cambon, Michel Robert, Jérôme Galy Dynamically Reconfigurable Architectures for Digital Signal Processing Applications. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Florence Azaïs, Serge Bernard, Yves Bertrand, Michel Renovell On-chip Generator of a Saw-Tooth Test Stimulus for ADC BIST. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Eric Senn, Eric Martin 0001 A Vision System on Chip for Industrial Control. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Morgan Hirosuke Miki, Motoki Kimura, Takao Onoye, Isao Shirakawa High Performance Java Hardware Engine and Software Kernel for Embedded Systems. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Amaury Nève, Denis Flandre Design of a Branch-Based Carry-Select Adder IP Portable in 0.25 µm Bulk and Silicon-On-Insulator CMOS Technologies. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Philippe Maurine, Nadine Azémard, Daniel Auvergne Gate Sizing for Low Power Design. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Didier Demigny, Lounis Kessal, J. Pons Fast Recursive Implementation of the Gaussian Filter. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Marie-Lise Flottes, Julien Pouget, Bruno Rouzeyre Power-Constrained Test Scheduling for SoCs Under a "no session" Scheme. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Bruno Casadei, Jean-Piere Le Normand, Yann Hu, Bernard Cunin Design of a Fast CMOS APS Imager for High Speed Laser Detections. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Jean-Max Dutertre, F. M. Roche, Guy Cathébras Integration of Robustness in the Design of a Cell. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Jean-Baptiste Rigaud, Jerome Quartana, Laurent Fesquet, Marc Renaudin Modeling and Design of Asynchronous Priority Arbiters for On-Chip Communication Systems. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Takashi Komuro, Masatoshi Ishikawa 64×64 Pixels General Purpose Digital Vision Chip. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Christophe Lallement, François Pêcheux, Yannick Hervé A VHDL-AMS Case Study: The Incremental Design of an Efficient 3rd Generation MOS Model of a Deep Sub Micron Transistor. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Samy Meftali, Ferid Gharsalli, Frédéric Rousseau 0001, Ahmed Amine Jerraya Automatic Code-Transformation and Architecture Refinement for Application-Specific Multiprocessor SoCs with Shared Memory. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Raphaël David, Daniel Chillet, Sébastien Pillement, Olivier Sentieys A Dynamically Reconfigurable Architecture for Low-Power Multimedia Terminals. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Peer Johannsen, Rolf Drechsler Speeding Up Verification of RTL Designs by Computing One-to-one Abstractions with Reduced Signal Widths. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Giuseppe Ascia, Vincenzo Catania, Maurizio Palesi An Evolutionary Approach for Pareto-optimal Configurations in SOC Platforms. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Leandro Soares Indrusiak, Jürgen Becker 0001, Manfred Glesner, Ricardo Augusto da Luz Reis Distributed Collaborative Design over Cave2 Framework. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Nuno Roma, Leonel Sousa A New Efficient VLSI Architecture for Full Search Block Matching Motion Estimation. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Catherine H. Gebotys, Radu Muresan Modeling Power Dynamics for an Embedded DSP Processor Core. An Empirical Model. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Vincent Beroulle, Yves Bertrand, Laurent Latorre, Pascal Nouet Noise optimisation of a piezoresistive CMOS MEMS for magnetic field sensing. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42René David, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel Random Adjacent Sequences: An Efficient Solution for Logic BIST. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Jung Hyun Choi, Sergio Bampi CMOS Mixed-signal Circuits Design on a Digital Array Using Minimum Transistors. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Nadine Azémard, M. Aline, Philippe Maurine, Daniel Auvergne Feasible Delay Bound Definition. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Vincent Beroulle, Laurent Latorre, M. Dardalhon, Coumar Oudéa, Guy Perez, Francis Pressecq, Pascal Nouet Impact of Technology Spreading on MEMS design Robustness. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42David Bernard, Christian Landrault, Pascal Nouet Interconnect Capacitance Modelling in a VDSM CMOS Technology. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Brian W. Curran, Mary Gifaldi, Jason Martin, Alper Buyuktosunoglu, Martin Margala, David H. Albonesi Low-Voltage 0, 25 µm CMOS Improved Power Adaptive Issue Queue for Embedded Microprocessors. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Kiyoo Itoh 0001, Hiroyuki Mizuno Low-Voltage Embedded-RAM Technology: Present and Future. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Erik Jan Marinissen An Industrial Approach to Core-Based System Chip Testing. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Cristiano C. de Araújo, Edna Barros Abstract Communication Model and Automatic Interface generation for IP integration in Hardware/Software Co-design. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42João Cláudio Soares Otero, Flávio Rech Wagner An Object-Oriented Methodology for Modeling the Precise Behavior of Processor Architectures. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Luigi Carro, André C. Nácul, Daniel Janner, Marcelo Lubaszewski Built-in Test of Analog Non-Linear Circuits in a SOC Environment. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Patricia Guitton-Ouhamou, Cécile Belleudy, Michel Auguin Power Consumption Model for the DSP OAK Processor. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42P. Lamaty, B. Mazar, Didier Demigny, Lounis Kessal, Si Mahmoud Karabernou Two ASIC for Low and Middle Levels of Real Time Image Processing. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
42Raul Camposano, Don MacMillen Design Technology for Systems-on-Chip. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
40Martin Margala, Ricardo Augusto da Luz Reis, Alex Orailoglu, Luigi Carro, Luís Miguel Silveira, H. Fatih Ugurdag (eds.) 21st IEEE/IFIP International Conference on VLSI and System-on-Chip, VLSI-SoC 2013, Istanbul, Turkey, October 7-9, 2013 Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  BibTeX  RDF
40Katell Morin-Allory, Fatemeh Negin Javaheri, Dominique Borrione SyntHorus-2: Automatic prototyping from PSL. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
40Abdulkadir Akin, Ipek Baz, Luis Manuel Gaemperle, Alexandre Schmid, Yusuf Leblebici Compressed look-up-table based real-time rectification hardware. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
40Yuhui Bai, Syed Zahid Ahmed, Imen Mhedhbi, Khalil Hachicha, Cedric Champion, Patrick Garda, Bertrand Granado FPGA vs DSP: A throughput and power efficiency comparison for Hierarchical Enumerative Coding. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
40Sani R. Nassif, Yale N. Patt, Magdy S. Abadir Keynote 1 - VLSI 2.0: R&D Post Moore. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
40Sungho Kim, Urs Frey An inverter-based neural amplifier for neural spike detection. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
40Chien-Hung Kuo, Cin-De Jhang A center-aligned digital pulse-width modulator for envelope modulation of polar transmitters. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
40Mehmet Burak Aykenar, Muhammet Ozgur, Osman Seckin Simsek, Oguz Ergin Adapting the columns of storage components for lower static energy dissipation. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 1391 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license