The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for architectures with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1976 (20) 1977 (15) 1978 (25) 1979 (17) 1980 (22) 1981 (28) 1982 (39) 1983 (93) 1984 (51) 1985 (68) 1986 (143) 1987 (195) 1988 (271) 1989 (473) 1990 (388) 1991 (374) 1992 (552) 1993 (595) 1994 (805) 1995 (635) 1996 (709) 1997 (714) 1998 (710) 1999 (866) 2000 (1076) 2001 (1066) 2002 (1309) 2003 (1675) 2004 (1877) 2005 (2323) 2006 (2499) 2007 (2797) 2008 (3160) 2009 (2263) 2010 (1837) 2011 (1919) 2012 (1472) 2013 (1393) 2014 (1698) 2015 (1813) 2016 (1693) 2017 (1675) 2018 (1918) 2019 (1720) 2020 (1734) 2021 (1539) 2022 (1497) 2023 (1583) 2024 (253)
Publication types (Num. hits)
article(10156) book(171) data(2) incollection(671) inproceedings(38713) phdthesis(1323) proceedings(561)
Venues (Conferences, Journals, ...)
SPAA(1561) CoRR(1522) ASAP(1110) PACT(995) SIGCOMM(908) DSD(882) BICA(635) ISPAN(629) SAMOS(622) ARC(569) ICA3PP (1)(519) ICA3PP (2)(501) PAAP(488) NANOARCH(466) DASIP(405) SPA(404) More (+10 of total 4851)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 26884 occurrences of 7514 keywords

Results
Found 51597 publication records. Showing 51597 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
22Renaud Marlet, Scott Thibault, Charles Consel Mapping Software Architectures to Efficient Implementations via Partial Evaluation. Search on Bibsonomy ASE The full citation details ... 1997 DBLP  DOI  BibTeX  RDF code size overhead, inefficiency, flexible mechanisms, generic libraries, software engineering, software engineering, software architectures, pattern matching, interpreters, generic programs, partial evaluation, layers, program specialization, selective broadcast
22Mahesh Mehendale, Sunil D. Sherlekar, G. Venkatesh 0001 Low power realization of FIR filters using multirate architectures. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF low power realization, multirate architectures, computationally efficient implementations, power dissipation reduction, dedicated ASIC implementation, TMS320C2x/C5x programmable DSP, computational complexity, computational complexity, application specific integrated circuits, power analysis, digital filters, FIR filters, FIR filters, digital signal processing chips
22Mutlu Avci, Mehmet Sarigül, Buse Melis Özyildirim Case Study: Deep Convolutional Networks in Healthcare. Search on Bibsonomy Development and Analysis of Deep Learning Architectures The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
22Sasanka Potluri, Shamim Ahmed, Christian Diedrich Securing Industrial Control Systems from False Data Injection Attacks with Convolutional Neural Networks. Search on Bibsonomy Development and Analysis of Deep Learning Architectures The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
22Rami Cohen, Dima Ruinskiy, Janis Zickfeld, Hans IJzerman, Yizhar Lavner Baby Cry Detection: Deep Learning and Classical Approaches. Search on Bibsonomy Development and Analysis of Deep Learning Architectures The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
22Andrew Johnston, Angjelo Marku Identifying Extremism in Text Using Deep Learning. Search on Bibsonomy Development and Analysis of Deep Learning Architectures The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
22Raghavan Krishnan, Sarangapani Jagannathan, V. A. Samaranayake Direct Error Driven Learning for Classification in Applications Generating Big-Data. Search on Bibsonomy Development and Analysis of Deep Learning Architectures The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
22Michelle Karg, Christian Scharfenberger Deep Learning-Based Pedestrian Detection for Automated Driving: Achievements and Future Challenges. Search on Bibsonomy Development and Analysis of Deep Learning Architectures The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
22Tugba Erpek, Timothy J. O'Shea, Yalin E. Sagduyu, Yi Shi 0001, T. Charles Clancy Deep Learning for Wireless Communications. Search on Bibsonomy Development and Analysis of Deep Learning Architectures The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
22Ankita Singh, Shayok Chakraborty Deep Domain Adaptation for Regression. Search on Bibsonomy Development and Analysis of Deep Learning Architectures The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
22Omid Ghahabi, Pooyan Safari, Javier Hernando Deep Learning in Speaker Recognition. Search on Bibsonomy Development and Analysis of Deep Learning Architectures The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
22Salvatore Graziani, Maria Gabriella Xibilia Deep Learning for Soft Sensor Design. Search on Bibsonomy Development and Analysis of Deep Learning Architectures The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
22Matthias Wißotzki, Anna Sonnenberger A Guide for Capability Management. Search on Bibsonomy Emerging Trends in the Evolution of Service-Oriented and Enterprise Architectures The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
22John W. Coffey, Arthur B. Baskin, Dallas Snider Knowledge Elicitation and Conceptual Modeling to Foster Security and Trust in SOA System Evolution. Search on Bibsonomy Emerging Trends in the Evolution of Service-Oriented and Enterprise Architectures The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
22Hasan Koç, Jan-Christian Kuhr, Kurt Sandkuhl, Felix Timm Capability-Driven Development - A Novel Approach to Design Enterprise Capabilities. Search on Bibsonomy Emerging Trends in the Evolution of Service-Oriented and Enterprise Architectures The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
22Norman Wilde, Bilal Gonen, Eman El-Sheikh, Alfred Zimmermann Approaches to the Evolution of SOA Systems. Search on Bibsonomy Emerging Trends in the Evolution of Service-Oriented and Enterprise Architectures The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
22Oliver Bossert A Two-Speed Architecture for the Digital Enterprise. Search on Bibsonomy Emerging Trends in the Evolution of Service-Oriented and Enterprise Architectures The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
22Arthur B. Baskin, Robert E. Reinke, John W. Coffey The Fractal Nature of SOA Federations: A Real World Example. Search on Bibsonomy Emerging Trends in the Evolution of Service-Oriented and Enterprise Architectures The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
22Oliver F. Nandico A Framework to Support Digital Transformation. Search on Bibsonomy Emerging Trends in the Evolution of Service-Oriented and Enterprise Architectures The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
22Rainer Schmidt 0001, Michael Möhring Enterprise Architecture Analytics and Decision Support. Search on Bibsonomy Emerging Trends in the Evolution of Service-Oriented and Enterprise Architectures The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
22Matthias Wißotzki Exploring the Nature of Capability Research. Search on Bibsonomy Emerging Trends in the Evolution of Service-Oriented and Enterprise Architectures The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
22 16th Workshop on Interaction between Compilers and Computer Architectures, INTERACT 2012, New Orleans, LA, USA, February 25, 2012 Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2012 DBLP  BibTeX  RDF
22Maurizio Giordano, Claudia Di Napoli A Chemical Evolutionary Mechanism for Instantiating Service-Based Applications. Search on Bibsonomy Parallel Architectures and Bioinspired Algorithms The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
22Daniel Lombraña Gonzalez, Juan Luis Jiménez Laredo, Francisco Fernández de Vega, Juan Julián Merelo Guervós Characterizing Fault-Tolerance in Evolutionary Algorithms. Search on Bibsonomy Parallel Architectures and Bioinspired Algorithms The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
22Mario Cámara, Julio Ortega 0001, Francisco de Toro Comparison of Frameworks for Parallel Multiobjective Evolutionary Optimization in Dynamic Problems. Search on Bibsonomy Parallel Architectures and Bioinspired Algorithms The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
22Francisco Fernández de Vega, José Ignacio Hidalgo, Juan Lanchares Introduction. Search on Bibsonomy Parallel Architectures and Bioinspired Algorithms The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
22Juan Villegas-Cortéz, Gustavo Olague, Humberto Sossa, Carlos Avilés-Cruz Evolutionary Associative Memories through Genetic Programming. Search on Bibsonomy Parallel Architectures and Bioinspired Algorithms The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
22William B. Langdon Creating and Debugging Performance CUDA C. Search on Bibsonomy Parallel Architectures and Bioinspired Algorithms The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
22Una-May O'Reilly, Nadya T. Bliss, Sanjeev Mohindra, Julie Mullen, Eric Robinson A Knowledge-Based Operator for a Genetic Algorithm which Optimizes the Distribution of Sparse Matrix Data. Search on Bibsonomy Parallel Architectures and Bioinspired Algorithms The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
22Dario Izzo, Marek Rucinski, Francesco Biscani The Generalized Island Model. Search on Bibsonomy Parallel Architectures and Bioinspired Algorithms The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
22Simon Harding, Wolfgang Banzhaf Optimizing Shape Design with Distributed Parallel Genetic Programming on GPUs. Search on Bibsonomy Parallel Architectures and Bioinspired Algorithms The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
22Alberto Guillén, Dusan Sovilj, Mark van Heeswijk, Luis Javier Herrera, Amaury Lendasse, Héctor Pomares, Ignacio Rojas Evolutive Approaches for Variable Selection Using a Non-parametric Noise Estimator. Search on Bibsonomy Parallel Architectures and Bioinspired Algorithms The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
22Leonardo Vanneschi, Daniele Codecasa, Giancarlo Mauri An Empirical Study of Parallel and Distributed Particle Swarm Optimization. Search on Bibsonomy Parallel Architectures and Bioinspired Algorithms The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
22Raphael Poss, Clemens Grelck, Stephan Herhut, Sven-Bodo Scholz Lazy reference counting for the microgrid. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
22Hsu-Hung Chiang, Huang-Jia Cheng, Yuan-Shin Hwang Doubling the number of registers on ARM processors. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
22Min Feng 0001, Chen Tian 0002, Rajiv Gupta 0001 Enhancing LRU replacement via phantom associativity. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
22Qing'an Li, Yingchao Zhao 0001, Jingtong Hu, Chun Jason Xue, Edwin Hsing-Mean Sha, Yanxiang He MGC: Multiple graph-coloring for non-volatile memory based hybrid Scratchpad Memory. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
22Changmin Lee 0002, Won Woo Ro, Jean-Luc Gaudiot Cooperative heterogeneous computing for parallel processing on CPU/GPU hybrids. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
22 15th Workshop on Interaction between Compilers and Computer Architectures, INTERACT 2011, San Antonio, Texas, USA, February 12, 2011 Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2011 DBLP  BibTeX  RDF
22Hiroki Matsutani, Michihiro Koibuchi, Tadahiro Kuroda, Hideharu Amano 3-D NoC on Inductive Wireless Interconnect. Search on Bibsonomy 3D Integration for NoC-based SoC Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Abbas Sheibanyrad, Frédéric Pétrot Asynchronous 3D-NoCs Making Use of Serialized Vertical Links. Search on Bibsonomy 3D Integration for NoC-based SoC Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Axel Jantsch, Matthew Grange, Dinesh Pamunuwa The Promises and Limitations of 3-D Integration. Search on Bibsonomy 3D Integration for NoC-based SoC Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Ciprian Seiculescu, Srinivasan Murali, Luca Benini, Giovanni De Micheli 3D Network on Chip Topology Synthesis: Designing Custom Topologies for Chip Stacks. Search on Bibsonomy 3D Integration for NoC-based SoC Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Vasilis F. Pavlidis, Eby G. Friedman Physical Analysis of NoC Topologies for 3-D Integrated Systems. Search on Bibsonomy 3D Integration for NoC-based SoC Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Brett Stanley Feero, Partha Pratim Pande Three-Dimensional Networks-on-Chip: Performance Evaluation. Search on Bibsonomy 3D Integration for NoC-based SoC Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Erik Jan Marinissen Testing 3D Stacked ICs Containing Through-Silicon Vias. Search on Bibsonomy 3D Integration for NoC-based SoC Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Chuan Seng Tan Three-Dimensional Integration of Integrated Circuits - an Introduction. Search on Bibsonomy 3D Integration for NoC-based SoC Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Paul D. Franzon, W. Rhett Davis, Thorlindur Thorolfsson Design and Computer Aided Design of 3DIC. Search on Bibsonomy 3D Integration for NoC-based SoC Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22John Grundy 0001, Patricia Lago, Paris Avgeriou, Jon G. Hall, Ivan Mistrík Theoretical Underpinnings and Reviews. Search on Bibsonomy Relating Software Requirements and Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Rami Bahsoon, Wolfgang Emmerich Economics-Driven Architecting for Non Functional Requirements in the Presence of Middleware. Search on Bibsonomy Relating Software Requirements and Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Antony Tang, Peng Liang 0001, Viktor Clerc, Hans van Vliet Traceability in the Co-evolution of Architectural Requirements and Design. Search on Bibsonomy Relating Software Requirements and Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Paris Avgeriou, John Grundy 0001, Jon G. Hall, Patricia Lago, Ivan Mistrík Experiences from Industrial Projects. Search on Bibsonomy Relating Software Requirements and Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Christine Choppy, Denis Hatebur, Maritta Heisel Systematic Architectural Design Based on Problem Patterns. Search on Bibsonomy Relating Software Requirements and Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Jochen Malte Küster, Hagen Völzer, Olaf Zimmermann Managing Artifacts with a Viewpoint-Realization Level Matrix. Search on Bibsonomy Relating Software Requirements and Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Lawrence Chung, Sam Supakkul, Nary Subramanian, José Luis Garrido, Manuel Noguera, María Visitación Hurtado, María Luisa Rodríguez 0001, Kawtar Benghazi Akhlaki Goal-Oriented Software Architecting. Search on Bibsonomy Relating Software Requirements and Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Paris Avgeriou, Patricia Lago, John Grundy 0001, Ivan Mistrík, Jon G. Hall Conclusions. Search on Bibsonomy Relating Software Requirements and Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22John Grundy 0001, Paris Avgeriou, Jon G. Hall, Patricia Lago, Ivan Mistrík Emerging Issues in Relating Software Requirements and Architecture. Search on Bibsonomy Relating Software Requirements and Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Eoin Woods, Nick Rozanski How Software Architecture can Frame, Constrain and Inspire System Requirements. Search on Bibsonomy Relating Software Requirements and Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Inah Omoronyia, Guttorm Sindre, Stefan Biffl, Tor Stålhane Understanding Architectural Elements from Requirements Traceability Networks. Search on Bibsonomy Relating Software Requirements and Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Outi Räihä, Hadaytullah Kundi, Kai Koskimies, Erkki Mäkinen Synthesizing Architecture from Requirements: A Genetic Approach. Search on Bibsonomy Relating Software Requirements and Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Patricia Lago, Paris Avgeriou, John Grundy 0001, Jon G. Hall, Ivan Mistrík Tools and Techniques. Search on Bibsonomy Relating Software Requirements and Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Michael Stal Onions, Pyramids & Loops - From Requirements to Software Architecture. Search on Bibsonomy Relating Software Requirements and Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Len Bass, Paul C. Clements Business Goals and Architecture. Search on Bibsonomy Relating Software Requirements and Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Soo Ling Lim, Anthony Finkelstein Anticipating Change in Requirements Engineering. Search on Bibsonomy Relating Software Requirements and Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Tim Trew, Goetz Botterweck, Bashar Nuseibeh A Reference Architecture for Consumer Electronics Products and its Application in Requirements Engineering. Search on Bibsonomy Relating Software Requirements and Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Huy Tran, Ta'id Holmes, Uwe Zdun, Schahram Dustdar Using Model-Driven Views and Trace Links to Relate Requirements and Architecture: A Case Study. Search on Bibsonomy Relating Software Requirements and Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Zoë R. Stephenson, Katrina Attwood, John A. McDermid Product-Line Models to Address Requirements Uncertainty, Volatility and Risk. Search on Bibsonomy Relating Software Requirements and Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Cristina Silvano, William Fornaciari, Gianluca Palermo, Vittorio Zaccaria, Fabrizio Castro, Marcos Martínez, Sara Bocchio, Roberto Zafalon, Prabhat Avasare, Geert Vanmeerbeeck, Chantal Ykman-Couvreur, Maryse Wouters, Carlos Kavka, Luka Onesti, Alessandro Turco, Umberto Bondi, Giovanni Mariani, Hector Posadas, Eugenio Villar, Chris Wu, Fan Dongrui, Hao Zhang 0009 The MULTICUBE Design Flow. Search on Bibsonomy Multi-objective Design Space Exploration of Multiprocessor SoC Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Gianluca Palermo, Cristina Silvano, Vittorio Zaccaria, Enrico Rigoni, Carlos Kavka, Alessandro Turco, Giovanni Mariani Response Surface Modeling for Design Space Exploration of Embedded System. Search on Bibsonomy Multi-objective Design Space Exploration of Multiprocessor SoC Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Patrick Bellasi, Simone Corbetta, William Fornaciari Run-Time Resource Management at the Operating System level. Search on Bibsonomy Multi-objective Design Space Exploration of Multiprocessor SoC Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Hector Posadas, Sara Real, Eugenio Villar M3-SCoPE: Performance Modeling of Multi-Processor Embedded Systems for Fast Design Space Exploration. Search on Bibsonomy Multi-objective Design Space Exploration of Multiprocessor SoC Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Cristina Silvano Conclusions. Search on Bibsonomy Multi-objective Design Space Exploration of Multiprocessor SoC Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Prabhat Avasare, Chantal Ykman-Couvreur, Geert Vanmeerbeeck, Giovanni Mariani, Gianluca Palermo, Cristina Silvano, Vittorio Zaccaria Design Space Exploration Supporting Run-Time Resource Management. Search on Bibsonomy Multi-objective Design Space Exploration of Multiprocessor SoC Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Marcos Martínez, David Ferruz, Hector Posadas, Eugenio Villar High-level modeling and exploration of a powerline communication network based on System-on-Chip. Search on Bibsonomy Multi-objective Design Space Exploration of Multiprocessor SoC Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Giovanni Mariani, Chantal Ykman-Couvreur, Prabhat Avasare, Geert Vanmeerbeeck, Gianluca Palermo, Cristina Silvano, Vittorio Zaccaria Design Space Exploration for Run-Time Management of a Reconfigurable System for Video Streaming. Search on Bibsonomy Multi-objective Design Space Exploration of Multiprocessor SoC Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Enrico Rigoni, Carlos Kavka, Alessandro Turco, Gianluca Palermo, Cristina Silvano, Vittorio Zaccaria, Giovanni Mariani Optimization Algorithms for Design Space Exploration of Embedded Systems. Search on Bibsonomy Multi-objective Design Space Exploration of Multiprocessor SoC Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Junpyo Lee, Jae-Jin Kim, Soo-Mook Moon, Suhyun Kim Aggressive Function Splitting for Partial Inlining. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Mirza Omer Beg, Peter van Beek A Constraint Programming Approach for Instruction Assignment. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Prasad A. Kulkarni, Jay Fuller JIT Compilation Policy on Single-Core and Multi-core Machines. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Ian Finlayson, Gang-Ryung Uh, David B. Whalley, Gary S. Tyson Improving Low Power Processor Efficiency with Static Pipelining. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Bertrand A. Maher, Katherine E. Coons, Kathryn S. McKinley, Doug Burger The Good Block: Hardware/Software Design for Composable, Block-Atomic Processors. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Yu Sun 0006, Wei Zhang 0002 On-Line Trace Based Automatic Parallelization of Java Programs on Multicore Platforms. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Chun-Yu Shei, Adarsh Yoga, Madhav Ramesh, Arun Chauhan 0001 MATLAB Parallelization through Scalarization. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Abhishek Deb, Josep M. Codina, Antonio González 0001 A Co-designed HW/SW Approach to General Purpose Program Acceleration Using a Programmable Functional Unit. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Archana Ravindar, Y. N. Srikant Implications of Program Phase Behavior on Timing Analysis. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Nicholas Hunt, Paramjit Singh Sandhu, Luis Ceze Characterizing the Performance and Energy Efficiency of Lock-Free Data Structures. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Peter M. Athanas, Jürgen Becker 0001, Jürgen Teich, Ingrid Verbauwhede (eds.) Dynamically Reconfigurable Architectures, 11.07. - 16.07.2010 Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2010 DBLP  BibTeX  RDF
22Gerard J. M. Smit, Jan Kuper, Christiaan P. R. Baaij A mathematical approach towards hardware design. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2010 DBLP  BibTeX  RDF
22Daniel Ziener, Jürgen Teich New Directions for IP Core Watermarking and Identification. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2010 DBLP  BibTeX  RDF
22René Cumplido, Juan M. Campos, Claudia Feregrino Uribe, Jose Roberto Perez-Andrade Towards a reconfigurable hardware architecture for implementing a LDPC module suitable for software radio systems. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2010 DBLP  BibTeX  RDF
22Dirk Koch Advances in Component-based System Design and Partial Run-time Reconfiguration. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2010 DBLP  BibTeX  RDF
22Jim Tørresen, Dirk Koch A new project to address run-time reconfigurable hardware systems. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2010 DBLP  BibTeX  RDF
22Jens Huthmann, Peter Müller 0010, Florian Stock, Dietmar Hildenbrand, Andreas Koch 0001 Compiling Geometric Algebra Computations into Reconfigurable Hardware Accelerators. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2010 DBLP  BibTeX  RDF
22Norbert Abel Design and Implementation of an Object-Oriented DPR-Framework. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2010 DBLP  BibTeX  RDF
22Xiaolei Chen, Yajun Ha The Optimization of Interconnection Networks in FPGAs. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2010 DBLP  BibTeX  RDF
22Walter Stechele, Christopher Claus, Andreas Laika Lessons Learned from last 4 Years of Reconfigurable Computing. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2010 DBLP  BibTeX  RDF
22Nele Mentens, Jo Vliegen, An Braeken, Abdellah Touhafi, Karel Wouters, Ingrid Verbauwhede Secure remote reconfiguration of FPGAs. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2010 DBLP  BibTeX  RDF
22Branislav Hredzak, Oliver Diessel Towards Dilated Placement of Dynamic NoC Cores. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2010 DBLP  BibTeX  RDF
22Annie A. M. Cuyt, Walter Krämer, Wolfram Luther, Peter W. Markstein (eds.) Numerical Validation in Current Hardware Architectures, International Dagstuhl Seminar, Dagstuhl Castle, Germany, January 6-11, 2008. Revised Papers Search on Bibsonomy Numerical Validation in Current Hardware Architectures The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22 Biologically Inspired Cognitive Architectures, Papers from the 2009 AAAI Fall Symposium, Arlington, Virginia, USA, November 5-7, 2009 Search on Bibsonomy AAAI Fall Symposium: Biologically Inspired Cognitive Architectures The full citation details ... 2009 DBLP  BibTeX  RDF
Displaying result #201 - #300 of 51597 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license