The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase clock-gating (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1996-2000 (19) 2001-2002 (15) 2003 (16) 2004 (19) 2005 (20) 2006 (23) 2007 (24) 2008 (24) 2009 (29) 2010 (18) 2011-2012 (27) 2013 (15) 2014-2015 (17) 2016-2018 (18) 2019-2021 (19) 2022-2023 (15) 2024 (1)
Publication types (Num. hits)
article(80) inproceedings(239)
Venues (Conferences, Journals, ...)
ISLPED(22) DAC(15) ISCAS(15) PATMOS(14) ASP-DAC(10) DATE(10) IEEE Trans. Very Large Scale I...(10) IEEE Trans. Comput. Aided Des....(9) VLSI Design(9) ICCD(8) HPCA(6) ICCAD(6) ISQED(6) ISVLSI(6) DSD(5) ISCAS (2)(5) More (+10 of total 119)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 239 occurrences of 145 keywords

Results
Found 319 publication records. Showing 319 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
23Jackson Pachito, Celestino V. Martins, Jorge Semião, Marcelino Bicho Dos Santos, Isabel C. Teixeira, João Paulo Teixeira 0001 The influence of clock-gating on NBTI-induced delay degradation. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
23Inhak Han, Youngsoo Shin Synthesis of clock gating logic through factored form matching. Search on Bibsonomy ICICDT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
23Yunlong Zhang, Qiang Tong, Li Li, Wei Wang 0029, Ken Choi, JongEun Jang, Hyobin Jung, Si-Young Ahn Automatic Register Transfer level CAD tool design for advanced clock gating and low power schemes. Search on Bibsonomy ISOCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
23Hiroyuki Akasaka, Masao Yanagisawa, Nozomu Togawa Energy-efficient high-level synthesis for HDR architectures with clock gating. Search on Bibsonomy ISOCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
23Li Li, Ken Choi Activity-driven optimised bus-specific-clock-gating for ultra-low-power smart space applications. Search on Bibsonomy IET Commun. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Luca Sterpone, Luigi Carro, Debora Matos, Stephan Wong, F. Fakhar A new reconfigurable clock-gating technique for low power SRAM-based FPGAs. Search on Bibsonomy DATE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Bo Yang, Amit Sanghani, Shantanu Sarangi, Chunsheng Liu A clock-gating based capture power droop reduction methodology for at-speed scan testing. Search on Bibsonomy DATE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Shih-Jung Hsu, Rung-Bin Lin Clock gating optimization with delay-matching. Search on Bibsonomy DATE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Tak-Kei Lam, Xiaoqing Yang, Wai-Chung Tang, Yu-Liang Wu On applying erroneous clock gating conditions to further cut down power. Search on Bibsonomy ASP-DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Elham K. Moghaddam, Janusz Rajski, Sudhakar M. Reddy, Jakub Janicki Low Test Data Volume Low Power At-Speed Delay Tests Using Clock-Gating. Search on Bibsonomy Asian Test Symposium The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Minh Thien Trieu, Huong Thien Hoang, Phong The Vo, Hung Bao Vo, Yoichi Yuyama Saving 78.11% Dhrystone power consumption in FPU by clock gating while still keeping co-operation with CPU. Search on Bibsonomy ASICON The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Baosheng Wang, Jayalakshmi Rajaraman, Kanwaldeep Sobti, Derrick Losli, Jeff Rearick Structural tests of slave clock gating in low-power flip-flop. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Yi-Tsung Lin, Jiun-Lang Huang, Xiaoqing Wen Clock-gating-aware low launch WSA test pattern generation for at-speed scan testing. Search on Bibsonomy ITC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Janusz Rajski, Elham K. Moghaddam, Sudhakar M. Reddy Low power compression utilizing clock-gating. Search on Bibsonomy ITC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Ting-Hao Lin, Chung-Yang (Ric) Huang Using SAT-based Craig interpolation to enlarge clock gating functions. Search on Bibsonomy DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Xin Man, Takashi Horiyama, Shinji Kimura Power Optimization of Sequential Circuits Using Switching Activity Based Clock Gating. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
23Kohei Miyase, Xiaoqing Wen, Hiroshi Furukawa, Yuta Yamato, Seiji Kajihara, Patrick Girard 0001, Laung-Terng Wang, Mohammad Tehranipoor High Launch Switching Activity Reduction in At-Speed Scan Testing Using CTX: A Clock-Gating-Based Test Relaxation and X-Filling Scheme. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
23Wing-Shan Tam, Oi-Ying Wong, Ka-Yan Mok, Chi-Wah Kok, Hei Wong An Energy Efficient Half-Static Clock-Gating d-Type flip-Flop. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
23Luca Benini, Alberto Bocca, Alberto Bonanno, Alberto Macii, Enrico Macii, Jean-Luc Nagel, Christian Piguet, Massimo Poncino A Refinement Methodology for Clock Gating Optimization at Layout Level in Digital Circuits. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
23Jithendra Srinivas, Sukumar Jairam Clock gating approaches by IOEX graphs and cluster efficiency plots. Search on Bibsonomy DATE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
23Jung Hwan Choi, Byung Guk Kim, Aurobindo Dasgupta, Kaushik Roy 0001 Improved clock-gating control scheme for transparent pipeline. Search on Bibsonomy ASP-DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
23Sumit Ahuja, Wei Zhang, Sandeep K. Shukla System level simulation guided approach to improve the efficacy of clock-gating. Search on Bibsonomy HLDVT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
23Antonin Hermanek, Michal Kunes, Milan Tichý Reducing Power Consumption of an Embedded DSP Platform through the Clock-Gating Technique. Search on Bibsonomy FPL The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
23Javier Castro-Ramirez, Pilar Parra Fernández, Antonio J. Acosta 0001 Optimization of clock-gating structures for low-leakage high-performance applications. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
23Hamid Mahmoodi, Vishy Tirumalashetty, Matthew Cooke, Kaushik Roy 0001 Ultra Low-Power Clocking Scheme Using Energy Recovery and Clock Gating. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Wanping Zhang, Wenjian Yu, Xiang Hu, Ling Zhang, Rui Shi 0003, He Peng, Zhi Zhu, Lew Chua-Eoan, Rajeev Murgai, Toshiyuki Shibuya, Noriyuki Ito, Chung-Kuan Cheng Efficient Power Network Analysis Considering Multidomain Clock Gating. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Young-Won Kim, Joo-Seong Kim, Jae-Hyuk Oh, Yoon-Suk Park, Jong-Woo Kim, Kwang-Il Park, Bai-Sun Kong, Young-Hyun Jun Low-Power CMOS Synchronous Counter With Clock Gating Embedded Into Carry Propagation. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Alberto Bonanno, Alberto Bocca, Alberto Macii, Enrico Macii, Massimo Poncino Data-Driven Clock Gating for Digital Filters. Search on Bibsonomy PATMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Sumit Ahuja, Sandeep K. Shukla MCBCG: Model Checking Based Sequential Clock-Gating. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Safeen Huda, Muntasir Mallick, Jason Helge Anderson Clock gating architectures for FPGA power reduction. Search on Bibsonomy FPL The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Nasir Mohyuddin, Kimish Patel, Massoud Pedram Deterministic clock gating to eliminate wasteful activity due to wrong-path instructions in out-of-order superscalar processors. Search on Bibsonomy ICCD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Krishna Chakravadhanula, Vivek Chickermane, Brion L. Keller, Patrick R. Gallagher Jr., Prashant Narang Capture power reduction using clock gating aware test generation. Search on Bibsonomy ITC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Chun-Hua Cheng, Shih-Hsu Huang, Wen-Pin Tu Module binding for low power clock gating. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23William George Osborne, Wayne Luk, José Gabriel F. Coutinho, Oskar Mencer Reconfigurable design with clock gating. Search on Bibsonomy ICSAMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Hiroshi Furukawa, Xiaoqing Wen, Kohei Miyase, Yuta Yamato, Seiji Kajihara, Patrick Girard 0001, Laung-Terng Wang, Mohammad Tehranipoor CTX: A Clock-Gating-Based Test Relaxation and X-Filling Scheme for Reducing Yield Loss Risk in At-Speed Scan Testing. Search on Bibsonomy ATS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Sukumar Jairam, Madhusudan Rao, Jithendra Srinivas, Parimala Vishwanath, H. Udayakumar, Jagdish C. Rao Clock gating for power optimization in ASIC design cycle theory & practice. Search on Bibsonomy ISLPED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Esmail Amini, Mehrdad Najibi, Hossein Pedram Globally Asynchronous Locally Synchronous Wrapper Circuit based on Clock Gating. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Antonio Calomarde, Antonio Rubio 0001, Jordi Saludes Selective Clock-Gating for Low-Power Synchronous Counters. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Pilar Parra Fernández, Antonio J. Acosta 0001, Raúl Jiménez, Manuel Valencia-Barrero Selective Clock-Gating for Low-Power Synchronous Counters. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Yan Luo, Jia Yu 0008, Jun Yang 0002, Laxmi N. Bhuyan Low power network processor design using clock gating. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low power, network processors
23Hai Li 0001, Swarup Bhunia, Yiran Chen 0001, Kaushik Roy 0001, T. N. Vijaykumar DCG: deterministic clock-gating for low-power microprocessor design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Matthias Müller 0002, Andreas Wortmann 0002, Sven Simon 0001, Michael Kugel, Tim Schoenauer The impact of clock gating schemes on the power dissipation of synthesizable register files. Search on Bibsonomy ISCAS (2) The full citation details ... 2004 DBLP  BibTeX  RDF
23Kazuki Fukuoka, Masaaki Iijima, Kenji Hamada, Masahiro Numa, Akira Tada Leakage power reduction for clock gating scheme on PD-SOI. Search on Bibsonomy ISCAS (2) The full citation details ... 2004 DBLP  BibTeX  RDF
23Antonio G. M. Strollo, Ettore Napoli, Davide De Caro New clock-gating techniques for low-power flip-flops. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF flip-fops, CMOS digital integrated circuits, transition probability, low-power circuits
23Luca Benini, Giovanni De Micheli, Enrico Macii, Massimo Poncino, Riccardo Scarsi Symbolic synthesis of clock-gating logic for power optimization of synchronous controllers. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Mondira Deb Pant, Pankaj Pant, D. Scott Wills, Vivek Tiwari An architectural solution for the inductive noise problem due to clock-gating. Search on Bibsonomy ISLPED The full citation details ... 1999 DBLP  DOI  BibTeX  RDF SPICE
23Takeshi Kitahara, Fumihiro Minami, Toshiaki Ueda, Kimiyoshi Usami, Seiichi Nishio, Masami Murakata, Takashi Mitsuhashi A Clock-Gating Method for Low-Power LSI Design. Search on Bibsonomy ASP-DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22A. S. Seyedi, S. H. Rasouli, Amir Amirabadi, Ali Afzali-Kusha Clock Gated Static Pulsed Flip-Flop (CGSPFF) in Sub 100 nm Technology. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22A. S. Seyedi, S. H. Rasouli, Amir Amirabadi, Ali Afzali-Kusha Low power low leakage clock gated static pulsed flip-flop. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Chia-Nan Yeh, Yen-Tai Lai Low power readout control circuit for high resolution CMOS image sensor. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Enrico Macii RTL power estimation and optimization. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Joo-Young Kim 0001, Seungjin Lee 0001, Jinwook Oh, Minsu Kim, Hoi-Jun Yoo A 60fps 496mW multi-object recognition processor with workload-aware dynamic power management. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF energy efficient object recognition, multimedia processor, workload-aware dynamic power management
18Xunying Zhang, Xubang Shen A Power-Efficient Floating-Point Co-processor Design. Search on Bibsonomy CSSE (4) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Swaroop Ghosh, Swarup Bhunia, Kaushik Roy 0001 Low-overhead circuit synthesis for temperature adaptation using dynamic voltage scheduling. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Renato Rimolo-Donadio, Antonio J. Acosta 0001, Wolfgang H. Krautschneider Asynchronous Staggered Set/Reset Techniques for Low-Noise Applications. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Yijun Li, Magdy A. Bayoumi A Three-Level Parallel High-Speed Low-Power Architecture for EBCOT of JPEG 2000. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Zahid Khan, John S. Thompson, Tughrul Arslan, Ahmet T. Erdogan Enhanced Dual Strategy based VLSI Architecture for Computing Pseudo Inverse of Channel Matrix in a MIMO Wireless System. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Koushik Maharatna, Alfonso Troya, Milos Krstic, Eckhard Grass On the Implementation of a Low-Power IEEE 802.11a Compliant Viterbi Decoder. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Hai Li, Chen-Yong Cher, Kaushik Roy 0001, T. N. Vijaykumar Combined circuit and architectural level variable supply-voltage scaling for low power. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Binu K. Mathew, Al Davis, Michael A. Parker A low power architecture for embedded perception. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF computer vision, embedded systems, speech recognition, perception, low power design, VLIW, stream processor
18Mohamed A. Gomaa, Michael D. Powell, T. N. Vijaykumar Heat-and-run: leveraging SMT and CMP to manage power density through the operating system. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF CMP, migration, SMT, heat, power density
18Hai Li, Chen-Yong Cher, T. N. Vijaykumar, Kaushik Roy 0001 VSV: L2-Miss-Driven Variable Supply-Voltage Scaling for Low Power. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Daniel Eckerbert, Lars J. Svensson, Per Larsson-Edefors A Mixed-Mode Delay-Locked-Loop Architecture. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Bhargab B. Bhattacharya, Sharad C. Seth, Sheng Zhang 0008 Double-Tree Scan: A Novel Low-Power Scan-Path Architecture. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18David M. Brooks, Margaret Martonosi Dynamic Thermal Management for High-Performance Microprocessors. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Woo-Seung Yang, In-Cheol Park, Chong-Min Kyung Low-power high-level synthesis using latches. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18M. Srikanth Rao, S. K. Nandy 0001 Power minimization using control generated clocks. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Anand Raghunathan, Sujit Dey, Niraj K. Jha Register transfer level power optimization with emphasis on glitch analysis and reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Graham Schelle, Jamison D. Collins, Ethan Schuchman, Perry H. Wang, Xiang Zou, Gautham N. Chinya, Ralf Plate, Thorsten Mattner, Franz Olbrich, Per Hammarlund, Ronak Singhal, Jim Brayton, Sebastian Steibl, Hong Wang 0003 Intel nehalem processor core made FPGA synthesizable. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF intel nehalem, synthesizable core, fpga, emulator
14Jungseob Lee, Chi-Chao Wang, Hamid Reza Ghasemi, Lloyd Bircher, Yu Cao 0001, Nam Sung Kim Workload-adaptive process tuning strategy for power-efficient multi-core processors. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF process parameter tuning, DVFS, multi-core processor
14Peter Malík, Michal Ufnal, Arkadiusz W. Luczyk, Marcel Baláz, Witold A. Pleskacz MDCT / IMDCT low power implementations in 90 nm CMOS technology for MP3 audio. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Babak Hidaji, Mohamad Reza Andalibizadeh, Salar Alipour Micro-architectural power estimation and optimization. Search on Bibsonomy EIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Perry H. Wang, Jamison D. Collins, Christopher T. Weaver, Belliappa Kuttanna, Shahram Salamian, Gautham N. Chinya, Ethan Schuchman, Oliver Schilling, Thorsten Doil, Sebastian Steibl, Hong Wang 0003 Intel® atomTM processor core made FPGA-synthesizable. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF intel atom, synthesizable core, fpga, emulator
14Andreas Genser, Christian Bachmann, Christian Steger, Jos Hulzink, Mladen Berekovic Low-Power ASIP Architecture Exploration and Optimization for Reed-Solomon Processing. Search on Bibsonomy ASAP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Massoud Pedram Green computing: reducing energy cost and carbon footprint of information processing systems. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF power and thermal management, energy efficiency, data center, green computing
14Amit Kumar 0002, Li Shang, Li-Shiuan Peh, Niraj K. Jha System-Level Dynamic Thermal Management for High-Performance Microprocessors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Erik Larsson, Zebo Peng A Reconfigurable Power Conscious Core Wrapper and its Application to System-on-Chip Test Scheduling. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Core wrapper, Test scheduling, Preemption, Power constraint
14Heng Liu, Yan Wang An Implementation Scheme of Power Saving Mechanism for IEEE 802.11e. Search on Bibsonomy CSSE (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Frank Bouwens, Mladen Berekovic, Bjorn De Sutter, Georgi Gaydadjiev Architecture Enhancements for the ADRES Coarse-Grained Reconfigurable Array. Search on Bibsonomy HiPEAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Andreas Merkel, Frank Bellosa Task activity vectors: a new metric for temperature-aware scheduling. Search on Bibsonomy EuroSys The full citation details ... 2008 DBLP  DOI  BibTeX  RDF activity vectorsvectors, hotspot reduction, task characteristics, temperature-aware scheduling, thermal management, task migration
14Arnab Banerjee, Robert D. Mullins, Simon W. Moore A Power and Energy Exploration of Network-on-Chip Architectures. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Lennart Yseboodt, Michael De Nil, Jos Huisken, Mladen Berekovic, Qin Zhao, Frank Bouwens, Jef L. van Meerbergen Design of 100 muW Wireless Sensor Nodes on Energy Scavengers for Biomedical Monitoring. Search on Bibsonomy SAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Kentaro Kawakami, Mitsuhiko Kuroda, Hiroshi Kawaguchi 0001, Masahiko Yoshimoto Power and Memory Bandwidth Reduction of an H.264/AVC HDTV Decoder LSI with Elastic Pipeline Architecture. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Kwen-Siong Chong, Bah-Hwee Gwee, Joseph Sylvester Chang A Low Energy FFT/IFFT Processor for Hearing Aids. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Mustafa Parlak, Ilker Hamzaoglu A Low Power Implementation of H.264 Adaptive Deblocking Filter Algorithm. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Carlos Fernández, Rajkumar K. Raval, Chris J. Bleakley GALS SoC interconnect bus for wireless sensor network processor platforms. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF SoC bus, application specific bus, system on chip bus, WSN, wireless sensor network, low power, GALS
14Rajamani Sethuram, Omar I. Khan, Hari Vijay Venkatanarayanan, Michael L. Bushnell A Neural Net Branch Predictor to Reduce Power. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Puneet Gupta 0001, Andrew B. Kahng, Puneet Sharma, Dennis Sylvester Gate-length biasing for runtime-leakage control. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Yuanbin Guo, Joseph R. Cavallaro A Low Complexity and Low Power SoC Design Architecture for Adaptive MAI Suppression in CDMA Systems. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF adaptive, VLSI, low power, SoC, CDMA, interference cancellation
14Ju-Ho Sohn, Jeong-Ho Woo, Jerald Yoo, Hoi-Jun Yoo Design and test of fixed-point multimedia co-processor for mobile applications. Search on Bibsonomy DATE Designers' Forum The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Ming-che Lai, Kui Dai, Lu Hong-yi, Zhiying Wang 0003 A Novel Data-Parallel Coprocessor for Multimedia Signal Processing. Search on Bibsonomy ICME The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Yijun Li, Magdy A. Bayoumi A power-efficient architecture for EBCOT tier-1 in JPEG 2000. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Ke Xu 0014, Oliver Chiu-sing Choy, Cheong-Fat Chan, Kong-Pang Pun Power-efficient VLSI implementation of bitstream parsing in H.264/AVC decoder. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Amit Kumar 0002, Li Shang, Li-Shiuan Peh, Niraj K. Jha HybDTM: a coordinated hardware-software approach for dynamic thermal management. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hybrid hardware-software management, thermal model, dynamic thermal management
14Mariagrazia Graziano, Cristiano Forzan, Davide Pandini Power Supply Selective Mapping for Accurate Timing Analysis. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Yong-Ju Jang, Yoan Shin, Min-Cheol Hong, Jae-Kyung Wee, Seongsoo Lee Low-Power 32bit×32bit Multiplier Design with Pipelined Block-Wise Shutdown. Search on Bibsonomy HiPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Mark Bidewell Software-based dynamic thermal management for Linux systems. Search on Bibsonomy ACM Southeast Regional Conference (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF operating systems, dynamic thermal management
14Saraju P. Mohanty, N. Ranganathan, Karthikeyan Balakrishnan Design of a Low Power Image Watermarking Encoder Using Dual Voltage and Frequency. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Allan Hartstein, Thomas R. Puzak The optimum pipeline depth considering both power and performance. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Pipeline Depth, Power and Performance, Workload Specificity, Simulation
14Andrew Kinane, Valentin Muresan, Noel E. O'Connor, Noel Murphy, Seán Marlow Energy-Efficient Hardware Architecture for Variable N-point 1D DCT. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 319 (100 per page; Change: )
Pages: [<<][1][2][3][4][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license