The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for latches with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1982-1991 (16) 1992-1994 (20) 1995 (19) 1996 (18) 1997-1998 (22) 1999 (24) 2000-2001 (23) 2002 (18) 2003 (25) 2004 (28) 2005 (25) 2006 (27) 2007 (34) 2008 (25) 2009-2010 (20) 2011-2013 (18) 2014-2016 (15) 2017-2018 (17) 2019-2023 (19) 2024 (1)
Publication types (Num. hits)
article(124) book(1) inproceedings(287) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 369 occurrences of 249 keywords

Results
Found 414 publication records. Showing 414 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
21Víctor H. Champac, Antonio Zenteno, José L. Garcia Testing of resistive opens in CMOS latches and flip-flops. Search on Bibsonomy ETS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Daniel R. Blum, Mitchell J. Myjak, José G. Delgado-Frias Enhanced Fault-Tolerant Data Latches for Deep Submicron CMOS. Search on Bibsonomy CDES The full citation details ... 2005 DBLP  BibTeX  RDF
21Chua-Chin Wang, Yih-Long Tseng, Hon-Yuan Leo, Ron Hu A 4-kB 500-MHz 4-T CMOS SRAM using low-VTHN bitline drivers and high-VTHP latches. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Serban E. Vlad The equations of the ideal latches Search on Bibsonomy CoRR The full citation details ... 2004 DBLP  BibTeX  RDF
21Muhammad Usama, Tad A. Kwasniewski Design and comparison of CMOS Current Mode Logic latches. Search on Bibsonomy ISCAS (4) The full citation details ... 2004 DBLP  BibTeX  RDF
21Branko Ster, Andrej Dobnikar An extended architecture of recurrent neural networks that latches input information. Search on Bibsonomy ICANNGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Jae-Kyung Wee, Kyeong-Sik Min, Jong-Tai Park, Sang-Pil Lee, Young-Hee Kim, Tae-Heum Yang, Jong-Doo Joo, Jin-Yong Chung A post-package bit-repair scheme using static latches with bipolar-voltage programmable antifuse circuit for high-density DRAMs. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21G. A. Al-Rawi A new offset measurement and cancellation technique for dynamic latches. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Victor V. Zyuban, David Meltzer Clocking strategies and scannable latches for low power appliacations. Search on Bibsonomy ISLPED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Xiaoqiang Shou, Michael M. Green A family of CMOS latches with 3 stable operating points. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Vladimir Stojanovic, Vojin G. Oklobdzija Comparative analysis of master-slave latches and flip-flops for high-performance and low-power systems. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Steve Adams Oracle8i internal services - for waits, latches, locks, and memory. Search on Bibsonomy 1999   RDF
21Vladimir Stojanovic, Vojin G. Oklobdzija, Raminder Singh Bajwa Comparative analysis of latches and flip-flops for high-performance systems. Search on Bibsonomy ICCD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Jiren Yuan, Christer Svensson New single-clock CMOS latches and flipflops with improved speed and power savings. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21Gerard M. Blair Comments on "New single-clock CMOS latches and flip-flops with improved speed and power savings". Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21Jacob Savir On The Tradeoff Between Number of Clocks and Number of Latches in Shift Registers. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21Kjell O. Jeppson Comments on the metastable behavior of mismatched CMOS latches. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
21Youn-Long Lin, Tsung-Yi Wu Storage optimization by replacing some flip-flops with latches. Search on Bibsonomy EURO-DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
21Chuan-Hua Chang Performance optimization of pipeline circuits with latches and wave pipelining. Search on Bibsonomy 1996   RDF
21Wilhelmus A. M. Van Noije, W. T. Liu, João Navarro Jr. Precise final state determination of mismatched CMOS latches. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
21Samy Makar, Edward J. McCluskey Functional Tests for Scan Chain Latches. Search on Bibsonomy ITC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
21Stephen Pateras, Martin S. Schmookler Avoiding Unknown States When Scanning Mutually Exclusive Latches. Search on Bibsonomy ITC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
21Donald F. Hanson A VHDL conversion tool for logic equations with embedded D latches. Search on Bibsonomy WCAE@HPCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
21Sameh Ghannoum, Dmitri Chtchvyrkov, Yvon Savaria A Comparative Study of Single-Phase Clocked Latches Using Estimation Criteria. Search on Bibsonomy ISCAS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21Timothy M. Burks Timing verification and optimization of circuits with level-sensitive latches. Search on Bibsonomy 1994   RDF
21Manuel J. Bellido, Manuel Valencia-Barrero, Antonio J. Acosta 0001, Angel Barriga, José Luis Huertas, Rafael Domínguez-Castro A New Faster Method for Calculating the Resolution Coefficient of CMOS Latches: Design of an Optimum Latch. Search on Bibsonomy ISCAS The full citation details ... 1993 DBLP  BibTeX  RDF
21Timothy M. Burks, Karem A. Sakallah, Trevor N. Mudge Identification of critical paths in circuits with level-sensitive latches. Search on Bibsonomy ICCAD The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
21Glenn Jennings On the detection and elimination of superfluous level-sensitive latches. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
21Ichiang Lin, John A. Ludwig, Kwok Eng Analyzing Cycle Stealing on Synchronous Circuits with Level-Sensitive Latches. Search on Bibsonomy DAC The full citation details ... 1992 DBLP  BibTeX  RDF
21Robert Tjärnström Clock independent timing verification of level-sensitive latches. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
21Narendra V. Shenoy, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli Retiming of Circuits with Single Phase Transparent Latches. Search on Bibsonomy ICCD The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
21Weiwei Mao, Michael D. Ciletti Arrangement of latches in scan-path design to improve delay fault coverage. Search on Bibsonomy ITC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
21Andy Halliday, Greg Young, Alfred L. Crouch Prototype Testing Simplified by Scannable Buffers and Latches. Search on Bibsonomy ITC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
21Madhukar K. Reddy, Sudhakar M. Reddy Detecting FET Stuck-Open Faults in CMOS Latches and Flip-Flops. Search on Bibsonomy IEEE Des. Test The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
11Sani R. Nassif, Kevin J. Nowka Physical design challenges beyond the 22nm node. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF technology, scaling
11Alexander Spröwitz, Aude Billard, Pierre Dillenbourg, Auke Jan Ijspeert Roombots-mechanical design of self-reconfiguring modular robots for adaptive furniture. Search on Bibsonomy ICRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Perry H. Wang, Jamison D. Collins, Christopher T. Weaver, Belliappa Kuttanna, Shahram Salamian, Gautham N. Chinya, Ethan Schuchman, Oliver Schilling, Thorsten Doil, Sebastian Steibl, Hong Wang 0003 Intel® atomTM processor core made FPGA-synthesizable. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF intel atom, synthesizable core, fpga, emulator
11Mahta Haghi, Jeff Draper The effect of design parameters on single-event upset sensitivity of MOS current mode logic. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF mos current mode logic (mcml), single event upset (seu), design parameters, radiation hardening
11Abhranil Maiti, Raghunandan Nagesh, Anand Reddy, Patrick Schaumont Physical unclonable function and true random number generator: a compact and scalable implementation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ring oscillators (ro), trng, fpga, scalable, jitter, macro, puf
11Jonathan Howse The ROV Pontus - A winning design. Search on Bibsonomy CCECE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Eli Arbel, Oleg Rokhlenko, Karen Yorav SAT-based synthesis of clock gating functions using 3-valued abstraction. Search on Bibsonomy FMCAD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Smita Krishnaswamy, Igor L. Markov, John P. Hayes Improving testability and soft-error resilience through retiming. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF testability, soft errors, retiming
11Shweta Srivastava, Jaijeet S. Roychowdhury Independent and Interdependent Latch Setup/Hold Time Characterization via Newton-Raphson Solution and Euler Curve Tracking of State-Transition Equations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Min-Lun Chuang, Chun-Yao Wang Synthesis of reversible sequential elements. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF sequential elements, sequential circuits, Reversible logic
11Chiou-Yng Lee, Che Wun Chiou New Bit-Parallel Systolic Architectures for Computing Multiplication, Multiplicative Inversion and Division in GF(2m) Under Polynomial Basis and Normal Basis Representations. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF finite field, normal basis, polynomial basis, bit-parallel systolic multiplier
11Pradeep Ramachandran, Prabhakar Kudva, Jeffrey W. Kellington, John Schumann, Pia N. Sanda Statistical Fault Injection. Search on Bibsonomy DSN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Ruimin Huang, Niklas Lotze, Yiannos Manoli On Design a High Speed Sigma Delta DAC Modulator for a Digital Communication Transceiver on Chip. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Crispín Gómez Requena, María Engracia Gómez, Pedro López 0001, José Duato Reducing Packet Dropping in a Bufferless NoC. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Jaeha Kim, Brian S. Leibowitz, Metha Jeeradit Impulse sensitivity function analysis of periodic circuits. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF impulse sensitivity function, periodic AC analysis, simulation
11Crispín Gómez Requena, María Engracia Gómez, Pedro Juan López Rodríguez, José Duato An Efficient Switching Technique for NoCs with Reduced Buffer Requirements. Search on Bibsonomy ICPADS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Hamed Abrishami, Safar Hatami, Massoud Pedram Characterization and design of sequential circuit elements to combat soft error. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Kok-Leong Chang, Yao Zhu, Bah-Hwee Gwee De-synchronization of a point-of-sales digital-logic controller. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Safar Hatami, Hamed Abrishami, Massoud Pedram Statistical timing analysis of flip-flops considering codependent setup and hold times. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF codependency, hold time, piecewise linear, statistical static timing analysis (SSTA), probability, process variations, setup time
11Mahdi Fazeli, Seyed Ghassem Miremadi A Power Efficient Masking Technique for Design of Robust Embedded Systems against SEUs and SET. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Nilabha Dev, Sandeep Bhatia, Subhasish Mukherjee, Sue Genova, Vinayak Kadam A Partitioning Based Physical Scan Chain Allocation Algorithm that Minimizes Voltage Domain Crossings. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Eric Schkufza, Nathaniel Love, Michael R. Genesereth Propositional Automata and Cell Automata: Representational Frameworks for Discrete Dynamic Systems. Search on Bibsonomy Australasian Conference on Artificial Intelligence The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Montek Singh, Steven M. Nowick The Design of High-Performance Dynamic Asynchronous Pipelines: High-Capacity Style. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Montek Singh, Steven M. Nowick The Design of High-Performance Dynamic Asynchronous Pipelines: Lookahead Style. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Nikhil Jayakumar, Sunil P. Khatri A Predictably Low-Leakage ASIC Design Style. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Montek Singh, Steven M. Nowick MOUSETRAP: High-Speed Transition-Signaling Asynchronous Pipelines. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Mahdi Fazeli, Ahmad Patooghy, Seyed Ghassem Miremadi, Alireza Ejlali Feedback Redundancy: A Power Efficient SEU-Tolerant Latch Design for Deep Sub-Micron Technologies. Search on Bibsonomy DSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Chin-Hsiung Hsu, Szu-Jui Chou, Jie-Hong Roland Jiang, Yao-Wen Chang A Statistical Approach to the Timing-Yield Optimization of Pipeline Circuits. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Allan Crone, Gabriel Chidolue Functional Verification of Low Power Designs at RTL. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Low power aware management, Corruption, UPF, Simulation, Retention, PCF
11Shweta Srivastava, Jaijeet S. Roychowdhury Rapid and accurate latch characterization via direct Newton solution of setup/hold times. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Min-Lun Chuang, Chun-Yao Wang Synthesis of Reversible Sequential Elements. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Subhomoy Chattopadhyay Low power design techniques for nanometer design processes: 65 nm and smaller. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 65 nm, low power, embedded design
11Jaehyun Kim, Youngsoo Shin Minimizing leakage power in sequential circuits by using mixed Vt flip-flops. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Martin Saint-Laurent, Baker Mohammad, Paul Bassett A 65-nm pulsed latch with a single clocked transistor. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF low voltage swing, minimum clock power, pulsed latch, virtual-ground clocking
11Stephan Eggersglüß, Görschwin Fey, Rolf Drechsler SAT-based ATPG for Path Delay Faults in Sequential Circuits. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Daniel R. Blum, José G. Delgado-Frias Hardened by Design Techniques for Implementing Multiple-Bit Upset Tolerant Static Memories. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Vishnu C. Vimjam, M. Enamul Amyeen, Ruifeng Guo, Srikanth Venkataraman, Michael S. Hsiao, Kai Yang Using Scan-Dump Values to Improve Functional-Diagnosis Methodology. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Daher Kaiss, Marcelo Skaba, Ziyad Hanna, Zurab Khasidashvili Industrial Strength SAT-based Alignability Algorithm for Hardware Equivalence Verification. Search on Bibsonomy FMCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Subhomoy Chattopadhyay, Rakesh Patel Tutorial T3: Low Power Design Techniques for Nanometer Design Processes - 65nm and Smaller. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Suwen Yang, Mark R. Greenstreet Simulating Improbable Events. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Ming Zhang 0017, Subhasish Mitra, T. M. Mak, Norbert Seifert, Nicholas J. Wang, Quan Shi, Kee Sup Kim, Naresh R. Shanbhag, Sanjay J. Patel Sequential Element Design With Built-In Soft Error Resilience. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Sangyun Kim 0001, Peter A. Beerel Pipeline optimization for asynchronous circuits: complexity analysis and an efficient optimal algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Ruiming Chen, Hai Zhou 0001 Statistical timing verification for transparently latched circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Shobha Vasudevan, Jacob A. Abraham, Vinod Viswanath, Jiajin Tu Automatic decomposition for sequential equivalence checking of system level and RTL descriptions. Search on Bibsonomy MEMOCODE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Tomohiro Yoshihara, Dai Kobayashi, Ryo Taguchi, Haruo Yokota A Concurrency Control Method for Parallel Btree Structures. Search on Bibsonomy ICDE Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Subhasish Mitra, Ming Zhang 0017, Norbert Seifert, T. M. Mak, Kee Sup Kim Soft Error Resilient System Design through Error Correction. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Padmanabhan Pillai, Jason Campbell, Gautam Kedia, Shishir Moudgal, Kaushik Sheth A 3D Fax Machine based on Claytronics. Search on Bibsonomy IROS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Shahnam Mirzaei, Anup Hosangadi, Ryan Kastner High speed FIR filter implementation using add and shift method. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Garrett S. Rose, Mircea R. Stan A programmable majority logic array using molecular scale electronics. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Flavio Carbognani, Felix Bürgin, Norbert Felber, Hubert Kaeslin, Wolfgang Fichtner Two-phase resonant clocking for ultra-low-power hearing aid applications. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Petros Oikonomakos, Simon W. Moore An Asynchronous PLA with Improved Security Characteristics. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Mark R. Greenstreet, Jihong Ren Surfing Interconnect. Search on Bibsonomy ASYNC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Rostislav (Reuven) Dobkin, Ran Ginosar, Avinoam Kolodny Fast Asynchronous Shift Register for Bit-Serial Communication. Search on Bibsonomy ASYNC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Noriyuki Ito, Akira Kanuma, Daisuke Maruyama, Hitoshi Yamanaka, Tsuyoshi Mochizuki, Osamu Sugawara, Chihiro Endoh, Masahiro Yanagida, Takeshi Kono, Yutaka Isoda, Kazunobu Adachi, Takahisa Hiraide, Shigeru Nagasawa, Yaroku Sugiyama, Eizo Ninoi Delay defect screening for a 2.16GHz SPARC64 microprocessor. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF delay defect, microprocessor, screening, at-speed
11Jason A. Blome, Shantanu Gupta, Shuguang Feng, Scott A. Mahlke Cost-efficient soft error protection for embedded microprocessors. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF reliability, embedded processors, soft errors
11José M. Quintana, Maria J. Avedillo, Héctor Pettenghi Self-latching operation limits for MOBILE circuits. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Vincenzo Ferragina, Nicola Ghittori, Franco Maloberti Low-power 6-bit flash ADC for high-speed data converters architectures. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Darshan D. Thaker, Diana Franklin, John Y. Oliver, Susmit Biswas, Derek Lockhart, Tzvetan S. Metodi, Frederic T. Chong Characterization of Error-Tolerant Applications when Protecting Control Data. Search on Bibsonomy IISWC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Chenhui Jiang, Pietro Andreani, U. D. Keil Detailed Behavioral Modeling of Bang-Bang Phase Detectors. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Young-Jae Cho, Kyung-Hoon Lee, Hee-Cheol Choi, Young-Ju Kim, Kyoung-Jun Moon, Seung-Hoon Lee, Seok-Bong Hyun, Seong-Su Park A Dual-Channel 6b 1GS/s 0.18um CMOS ADC for Ultra Wide-Band Communication Systems. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Hemangee K. Kapoor Formal Modelling and Verification of an Asynchronous DLX Pipeline. Search on Bibsonomy SEFM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Chiou-Yng Lee, Jenn-Shyong Horng, I-Chang Jou, Erl-Huei Lu Low-Complexity Bit-Parallel Systolic Montgomery Multipliers for Special Classes of GF(2m). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF irreducible AOP, finite field, montgomery multiplication, irreducible trinomial, Bit-parallel systolic multiplier
11Yajun Ran, Alex Kondratyev, Kenneth H. Tseng, Yosinori Watanabe, Malgorzata Marek-Sadowska Eliminating false positives in crosstalk noise analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Minoru Watanabe, Fuminori Kobayashi An Improved Dynamic Optically Reconfigurable Gate Array. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Alan Mishchenko, Robert K. Brayton, Jie-Hong Roland Jiang, Tiziano Villa, Nina Yevtushenko 0001 Efficient Solution of Language Equations Using Partitioned Representations. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Frank te Beest, Ad M. G. Peeters A Multiplexor Based Test Method for Self-Timed Circuits. Search on Bibsonomy ASYNC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 414 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license