The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase micro-architecture (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1980-1998 (16) 1999-2000 (16) 2001-2003 (27) 2004 (21) 2005 (24) 2006 (25) 2007 (22) 2008 (23) 2009 (19) 2010-2012 (17) 2013-2015 (17) 2016-2019 (22) 2020-2024 (14)
Publication types (Num. hits)
article(42) incollection(2) inproceedings(214) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 193 occurrences of 163 keywords

Results
Found 263 publication records. Showing 263 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
6Assaf Shacham, Keren Bergman, Luca P. Carloni Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Oleg V. Michailovich, Yogesh Rathi On approximation of orientation distributions by means of spherical ridgelets. Search on Bibsonomy ISBI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Mouna Rachidi, Christine Chappard, Arnaud Marchadier, Clotilde Gadois, Eric Lespessailles, Claude Laurent Benhamou Application of Laws' masks to bone texture analysis: An innovative image analysis tool in osteoporosis. Search on Bibsonomy ISBI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Nikolaos Vassiliadis, George Theodoridis, Spiridon Nikolaidis 0001 ARISE Machines: Extending Processors with Hybrid Accelerators. Search on Bibsonomy ARC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF reconfigurable instruction set processor, custom unit, FPGA, coprocessor
6Michele Petracca, Keren Bergman, Luca P. Carloni Photonic networks-on-chip: Opportunities and challenges. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Arkaitz Ruiz-Alvarez, Kim M. Hazelwood Evaluating the impact of dynamic binary translation systems on hardware cache performance. Search on Bibsonomy IISWC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Haz-Edine Assemlal, David Tschumperlé, Luc Brun Efficient Computation of PDF-Based Characteristics from Diffusion MR Signal. Search on Bibsonomy MICCAI (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Nagaraju Pothineni, Anshul Kumar, Kolin Paul Exhaustive Enumeration of Legal Custom Instructions for Extensible Processors. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6John M. Mellor-Crummey Where will all the threads come from? Search on Bibsonomy PPoPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallelism, compilers, applications, languages, multicore
6Hwisung Jung, Peng Rong, Massoud Pedram Stochastic modeling of a thermally-managed multi-core system. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF uncertainty, stochastic processes, dynamic thermal management
6Peng Zhao, Shimin Cui, Yaoqing Gao, Raúl Silvera, José Nelson Amaral Forma: A framework for safe automatic array reshaping. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF reference analysis, data structure, Arrays
6Xiaotong Zhuang, Santosh Pande Allocating architected registers through differential encoding. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF architected register, differential encoding, Register allocation
6Richard Vincent Bennett, Alastair Colin Murray, Björn Franke, Nigel P. Topham Combining source-to-source transformations and processor instruction set extensions for the automated design-space exploration of embedded systems. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF source-level transformations, compilers, design space exploration, ASIPs, instruction set extension, customizable processors
6Melhem Tawk, Khaled Z. Ibrahim, Smaïl Niar Adaptive Sampling for Efficient MPSoC Architecture Simulation. Search on Bibsonomy MASCOTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Simulation, Sampling, MPSoC, Acceleration
6Amit Kumar 0008, Ram Huggahalli Impact of Cache Coherence Protocols on the Processing of Network Traffic. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Melinda Y. Agyekum, Steven M. Nowick A Cycle-Based Decomposition Method for Burst-Mode Asynchronous Controllers. Search on Bibsonomy ASYNC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Rong Ji, Xianjun Zeng, Liang Chen, Junfeng Zhang The Implementation and Design of a Low-Power Clock Distribution Microarchitecture. Search on Bibsonomy IEEE NAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Hiroki Matsutani, Michihiro Koibuchi, Hideharu Amano Performance, Cost, and Energy Evaluation of Fat H-Tree: A Cost-Efficient Tree-Based On-Chip Network. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Sandeep K. Venishetti, Ali Akoglu, Rahul Kalra Hierarchical Built-in Self-testing and FPGA Based Healing Methodology for System-on-a-Chip. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Salah Merniz, Mohamed Benmohammed A Methodology for the Formal Verification of RISC Microprocessors A Functional Approach. Search on Bibsonomy AICCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6C. Seger Integrating design and verification - from simple idea to practical system. Search on Bibsonomy MEMOCODE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Kun Zhang 0006, Tao Zhang 0037, Santosh Pande Memory Protection through Dynamic Access Control. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Shih-Hao Ou, Tay-Jyi Lin, Chao-Wei Huang, Yu-Ting Kuo, Chie-Min Chao, Chih-Wei Liu, Chein-Wei Jen A 52mW 1200MIPS compact DSP for multi-core media SoC. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Ada S. Y. Poon An Energy-Efficient Reconfigurable Baseband Processor for Flexible Radios. Search on Bibsonomy SiPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Gregory Buehrer, Yen-Kuang Chen, Srinivasan Parthasarathy 0001, Anthony D. Nguyen, Amol Ghoting, Daehyun Kim 0001 Efficient pattern mining on shared memory systems: implications for chip multiprocessor architectures. Search on Bibsonomy Memory System Performance and Correctness The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6François Rémond Physical design challenges for multi-million gate SoC's: an STMicroelectronics perspective. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Wenlong Li, Eric Q. Li, Ran Meng, Tao Wang 0003, Carole Dulong Performance analysis of Java concurrent programming: a case study of video mining system. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Changpeng Fang, Steve Carr 0001, Soner Önder, Zhenlin Wang Feedback-directed memory disambiguation through store distance analysis. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF store distance, memory disambiguation
6Smaïl Niar, Nicolas Inglart Rapid Performance and Power Consumption Estimation Methods for Embedded System Design. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Joonsoo Kim, Michael Orshansky Towards formal probabilistic power-performance design space exploration. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF formal methodology, hierarchical design exploration, performance, power, probabilistic, canonical representation
6Rajesh Galivanche, Bob Gottlieb Session Abstract. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Sami Yehia, Jean-Francois Collard, Olivier Temam Load squared: adding logic close to memory to reduce the latency of indirect loads with high miss ratios. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
6Philippe Manet, David Bol, Renaud Ambroise, Jean-Didier Legat Low Power Techniques Applied to a 80C51 Microcontroller for High Temperature Applications. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
6Andrés Takach, Bryan Bowyer, Thomas Bollaert C Based Hardware Design for Wireless Applications. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
6Rami Beidas, Jianwen Zhu Scalable interprocedural register allocation for high level synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
6Peter-Michael Seidel High-Radix Implementation of IEEE Floating-Point Addition. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
6Xiaotong Zhuang, Santosh Pande Differential register allocation. Search on Bibsonomy PLDI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF architected register, differential dncoding, register allocation
6Madhavi Gopal Valluri, Lizy Kurian John, Kathryn S. McKinley Low-power, low-complexity instruction issue using compiler assistance. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
6Peng Zhao, José Nelson Amaral Feedback-Directed Switch-Case Statement Optimization. Search on Bibsonomy ICPP Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
6Xueqi Cheng, Michael S. Hsiao Region-level approximate computation reuse for power reduction in multimedia applications. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF approximate computation reuse, critical sequence, low-power design
6Jan-Willem van de Waerdt, Stamatis Vassiliadis, Jean-Paul van Itegem, Hans Van Antwerpen The TM3270 Media-Processor Data Cache. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
6Kamel Slimani, Yann Rémond, Gilles Sicard, Marc Renaudin TAST Profiler and Low Energy Asynchronous Design Methodology. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
6Debayan Bhaduri, Sandeep K. Shukla NANOLAB: A Tool for Evaluating Reliability of Defect-Tolerant Nano Architectures. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
6Luca Fanucci, Riccardo Locatelli, Esa Petri VLSI Design of a Digital RFI Cancellation Scheme for VDSL Transceivers. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
6Masaaki Kondo, Hiroshi Nakamura Dynamic Processor Throttling for Power Efficient Computations. Search on Bibsonomy PACS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
6Patrick Carribault, Albert Cohen 0001 Applications of storage mapping optimization to register promotion. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF array contraction, array folding, scheduling, pattern matching, string matching, tiling, blocking, itanium, register promotion
6Sandro Neves Soares, Flávio Rech Wagner Design Space Exploration using T&D-Bench. Search on Bibsonomy SBAC-PAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
6Wei Qin, Sharad Malik Flexible and Formal Modeling of Microprocessors with Application to Retargetable Simulation. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
6K. Shiv, R. Iyer, C. Newburn, J. Dahlstedt, M. Lagergren, O. Lindholm Impact of JIT/JVM Optimizations on Java Application Performance. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
6Guy Even, Peter-Michael Seidel, Warren E. Ferguson A Parametric Error Analysis of Goldschmidt?s Division Algorithm. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
6Bernard Goossens The Instruction Register File. Search on Bibsonomy PaCT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
6Weiping Liao, Fei Li 0003, Lei He 0001 Microarchitecture level power and thermal simulation considering temperature dependent leakage model. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF simulation, leakage, thermal
6Mahesh Mamidipaka, Nikil D. Dutt, Kamal S. Khouri A Methodology for Accurate Modeling of Energy Dissipation in Array Structures. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
6Abhik Roychoudhury, Xianfeng Li, Tulika Mitra Timing Analysis of Embedded Software for Speculative Processors. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF worst case execution time, branch prediction
6Andreas Moshovos, Dionisios N. Pnevmatikatos, Amirali Baniasadi Slice-processors: an implementation of operation-based prediction. Search on Bibsonomy ICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
6Lakshmi N. Chakrapani, Pinar Korkmaz, Vincent John Mooney III, Krishna V. Palem, Kiran Puttaswamy, Weng-Fai Wong The emerging power crisis in embedded processors: what can a poor compiler do? Search on Bibsonomy CASES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
6Thomas Behrens, Simon Richards StateLator - Behavioral Code Generation as an Instance of a Model Transformation. Search on Bibsonomy CAiSE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
6Julio Sahuquillo, Ana Pont Designing Competitive Coherence Protocols Taking Advantage of Reuse Information. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF split data cache, reuse information, competitive protocol, performance evaluation, multiprocessor systems, data locality
6Marco Ferretti, Davide Rizzo Multimedia Extensions and Sub-word Parallelism in Image Processing: Preliminary Results. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
6Uming Ko, Mike McMahan, Edgar Auslander DSP for the Third Generation Wireless Communications. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
6Harvey G. Cragon Forty Five Years of Computer Architecture-All That's Old is New Again. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
6Raanan Grinwald, Eran Harel, Michael Orgad, Shmuel Ur, Avi Ziv User Defined Coverage - A Tool Supported Methodology for Design Verification. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF high-level synthesis, telecommunication
6Ing-Jer Huang, Alvin M. Despain Synthesis of application specific instruction sets. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #263 of 263 (100 per page; Change: )
Pages: [<<][1][2][3]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license