The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for microprocessors with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1975 (24) 1976 (15) 1977 (44) 1978 (21) 1979 (18) 1980 (29) 1981 (15) 1982 (23) 1983 (24) 1984 (23) 1985 (27) 1986-1987 (20) 1988-1989 (28) 1990 (17) 1991-1992 (23) 1993-1994 (38) 1995 (46) 1996 (44) 1997 (55) 1998 (63) 1999 (84) 2000 (99) 2001 (77) 2002 (125) 2003 (123) 2004 (156) 2005 (169) 2006 (174) 2007 (157) 2008 (144) 2009 (77) 2010 (47) 2011 (37) 2012 (32) 2013 (32) 2014 (24) 2015 (23) 2016 (22) 2017 (23) 2018 (16) 2019 (17) 2020 (20) 2021 (22) 2022-2023 (23) 2024 (10)
Publication types (Num. hits)
article(666) book(5) incollection(7) inproceedings(1598) phdthesis(53) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1754 occurrences of 998 keywords

Results
Found 2330 publication records. Showing 2330 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
21Keith M. Carrig, Albert M. Chu, Frank D. Ferraiolo, John G. Petrovick, P. Andrew Scott, Richard J. Weiss A Clock Methodology for High-Performance Microprocessors. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21Andreas Unger, Eberhard Zehendner Tuning the GNU Instruction Scheduler to Superscalar Microprocessors. Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21Vivek Tiwari, Ryan Donnelly, Sharad Malik, Ricardo Gonzalez Dynamic Power Management for Microprocessors: A Case Study. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21Janusz Sosnowski, A. Kusmierczyk Pseudorandom Testing of Microprocessors an Instruction/Data Flow Level. Search on Bibsonomy EDCC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
21Kenneth M. Wilson, Kunle Olukotun, Mendel Rosenblum Increasing Cache Port Efficiency for Dynamic Superscalar Microprocessors. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
21Doug Burger, James R. Goodman, Alain Kägi Memory Bandwidth Limitations of Future Microprocessors. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
21Shantanu Ganguly, Shervin Hojat Clock distribution design and verification for PowerPC microprocessors. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF PowerPC
21El-Sayed A. Talkhan, Aly M. H. Ahmed, Aly E. Salama Microprocessors functional testing techniques. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
21John Whiting, Sandy Newman Microprocessors in CRT terminals. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1975 DBLP  DOI  BibTeX  RDF
21Dan Knights, Todd Mytkowicz, Peter F. Sweeney, Michael C. Mozer, Amer Diwan Blind Optimization for Exploiting Hardware Features. Search on Bibsonomy CC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Fang Lu, Lei Wang 0004, Xiaobing Feng 0002, Zhiyuan Li 0001, Zhaoqing Zhang Exploiting idle register classes for fast spill destination. Search on Bibsonomy ICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF spilling cost, data transfer
21Tommy Bojan, Igor Frumkin, Robert Mauri Intel First Ever Converged Core Functional Validation Experience: Methodologies, Challenges, Results and Learning. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Matthew Curtis-Maury, Karan Singh, Sally A. McKee, Filip Blagojevic, Dimitrios S. Nikolopoulos, Bronis R. de Supinski, Martin Schulz 0001 Identifying energy-efficient concurrency levels using machine learning. Search on Bibsonomy CLUSTER The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Yi-Ping You, Chingren Lee, Jenq Kuen Lee Compilers for leakage power reduction. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Compilers for low power, power-gating mechanisms, leakage-power reduction
21Jaime H. Moreno Chip-level integration: the new frontier for microprocessor architecture. Search on Bibsonomy SPAA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF chip-level integration, microprocessor architecture
21Kostadin Damevski, Steven G. Parker Imprecise Exceptions in Distributed Parallel Components. Search on Bibsonomy Euro-Par The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Yu-Fai Fung, Muhammet Fikret Ercan, Wai-leung Cheung, Gujit Singh Avenues for High Performance Computation on a PC. Search on Bibsonomy ICCSA (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Theo Ungerer, Borut Robic, Jurij Silc A survey of processors with explicit multithreading. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF interleaved multithreading, simultaneous multithreading, Blocked multithreading
21Ing-Jer Huang, Ping-Huei Xie Application of instruction analysis/scheduling techniques to resource allocation of superscalar processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Praveen Parvathala, Kaila Maneparambil, William Lindsay FRITS - A Microprocessor Functional BIST Method. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Jack W. Davidson, Sanjay Jinturkar Memory Access Coalescing: A technique for Eliminating Redundant memory Accesses. Search on Bibsonomy PLDI The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21Bruce E. Stock, Miguel A. Ulloa Development of a microprocessor support facility for large organizations. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
17Christophe Dubach, Timothy M. Jones 0001, Michael F. P. O'Boyle An Empirical Architecture-Centric Approach to Microarchitectural Design Space Exploration. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Microprocessors and microcomputers, machine learning, modeling techniques, performance analysis and design aids
17Krste Asanovic, Ralph Wittig Guest Editors' Introduction: Hot Chips 21. Search on Bibsonomy IEEE Micro The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parallel computing, multicore, microprocessors, hardware, accelerators, MEMS
17Zhimin Chen 0002, Richard Neil Pittman, Alessandro Forin Combining multicore and reconfigurable instruction set extensions. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF extensible microprocessors, reconfigurable instruction set extensions, embedded, multi-core
17Zhangxi Tan, Andrew Waterman, Henry Cook, Sarah Bird, Krste Asanovic, David A. Patterson 0001 A case for FAME: FPGA architecture model execution. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF simulation, fpga, microprocessors
17Raj Amirtharajah, John R. Mashey Guest Editors' Introduction: Hot Chips 19. Search on Bibsonomy IEEE Micro The full citation details ... 2008 DBLP  DOI  BibTeX  RDF wireless HD, fault tolerance, mobile computing, low-power, GPU, computer architecture, microprocessors, mainframe computing, Hot Chips 19
17Sung Woo Chung, Kevin Skadron On-Demand Solution to Minimize I-Cache Leakage Energy with Maintaining Performance. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Low-power design, Microprocessors, Cache memories, Energy-aware systems
17Toshinori Sato, Shingo Watanabe Instruction Scheduling for Variation-Originated Variable Latencies. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF variable latency adder, long latency adder, instruction criticality, microprocessors, parameter variations
17David H. Albonesi Editor in Chief's Message: Truly "hot" chips - Do we still care? Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF high-performance microprocessors, power management, power-aware architectures, multicore chips
17John Kubiatowicz, Howard Sachs Guest Editors' Introduction: Hot Chips 18. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF communications, embedded systems, networking, power management, microprocessors, multicore systems
17Robert P. Colwell The Pentium Chronicles: Introduction. Search on Bibsonomy Computer The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Professional conduct, P6 microarchitecture, Project management, Microprocessors
17Linda Dailey Paulson News Briefs. Search on Bibsonomy Computer The full citation details ... 2006 DBLP  DOI  BibTeX  RDF AMD, chip-making technology, sports technology, Subdue program, Data mining, optical networks, microprocessors, pattern analysis, Intel, sensor technology
17Poonacha Kongetira, Kathirgamar Aingaran, Kunle Olukotun Niagara: A 32-Way Multithreaded Sparc Processor. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Microprocessors and microcomputers, Shared memory, Multithreaded processors
17Jon Beecroft, David Addison, David Hewson, Moray McLaren, Duncan Roweth, Fabrizio Petrini, Jarek Nieplocha QsNetII: Defining High-Performance Network Design. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Microprocessors and microcomputers, Network connectivity chips, Communication Protocols, Supercomputers, Clusters of Workstations
17Steve Leibson, James Kim Configurable Processors: A New Era in Chip Design. Search on Bibsonomy Computer The full citation details ... 2005 DBLP  DOI  BibTeX  RDF nanometer silicon lithography, microprocessors, multiprocessor systems, MPSoCs, configurable processors
17Rakesh Kumar 0002, Dean M. Tullsen, Norman P. Jouppi, Parthasarathy Ranganathan Heterogeneous Chip Multiprocessors. Search on Bibsonomy Computer The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Multicore microprocessors, Multiprocessors, Chip multiprocessors, CMP, Heterogeneity, System architectures, Power-aware computing
17Linda Dailey Paulson Technology News: Will Hard Drives Finally Stop Shrinking? Search on Bibsonomy Computer The full citation details ... 2005 DBLP  DOI  BibTeX  RDF minidrives, microprocessors, flash memory
17Linda Dailey Paulson News Briefs. Search on Bibsonomy Computer The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Globus Alliance, Global Grid Forum, ransomware, essay-grading software, predictive model-building, self-replicating robots, grid computing, robotics, standards, software, microprocessors, Web security, hackers
17Mario Diaz-Nava, Patrick Blouet, Philippe Teninge, Marcello Coppola, Tarek Ben Ismail, Samuel Picchiottino, Robin Wilson An Open Platform for Developing Multiprocessor SoCs. Search on Bibsonomy Computer The full citation details ... 2005 DBLP  DOI  BibTeX  RDF hardware emulation platform, computing nodes, HW/SW development, microprocessors, multiprocessor systems, MPSoCs, network interfaces
17Ahmed Amine Jerraya, Hannu Tenhunen, Wayne H. Wolf Guest Editors' Introduction: Multiprocessor Systems-on-Chips. Search on Bibsonomy Computer The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SoCs, microprocessors, multiprocessor systems, MPSoCs, chip design, VLSI technology
17Ioannis Panagopoulos, Christos Pavlatos, George K. Papakonstantinou A hardware extension of the RISC microprocessor for Attribute Grammar evaluation. Search on Bibsonomy SAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF RISC microprocessors, Attribute Grammars, declarative programs
17Rajat Gupta Digital Design: The components of a new paradigm. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF design for testability, logic design, Design methodology, microprocessors, digital integrated circuits
17Magdy S. Abadir, Ken Albin, John Havlicek, Narayanan Krishnamurthy, Andrew K. Martin Formal Verification Successes at Motorola. Search on Bibsonomy Formal Methods Syst. Des. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF verification, microprocessors, formal, properties
17Rajeev Balasubramonian, David H. Albonesi, Alper Buyuktosunoglu, Sandhya Dwarkadas A Dynamically Tunable Memory Hierarchy. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF High performance microprocessors, energy and performance of on-chip caches, memory hierarchy, reconfigurable architectures
17Harald Yndestad MIPROC. Search on Bibsonomy History of Nordic Computing The full citation details ... 2003 DBLP  DOI  BibTeX  RDF computer hardware, MIPROC, Microprocessors
17Kevin Skadron, Tarek F. Abdelzaher, Mircea R. Stan Control-Theoretic Techniques and Thermal-RC Modeling for Accurate and Localized Dynamic Thermal Management. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF thermal modeling and management, formal feedback control theory, performance, power, microprocessors
17Lawrence T. Clark, Neil Deutscher, Shay Demmons, Franco Ricci Standby power management for a 0.18µm microprocessor. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF body effect, low power, microprocessors
17Wael El-Essawy, David H. Albonesi, Balaram Sinharoy A microarchitectural-level step-power analysis tool. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Ldi/dt, step-power, microprocessors, clock-gating, architectural simulation, inductive noise
17William C. Athas, Lynn Youngs, Andrew Reinhart Compact models for estimating microprocessor frequency and power. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF VLSI, low-power, microprocessors, ASIC, power estimation, curve-fitting, delay modeling
17Rory McInerney, Kurt Leeper, Troy Hill, Heming Chan, Bulent Basaran, Lance McQuiddy Methodology for repeater insertion management in the RTL, layout, floorplan and fullchip timing databases of the Itanium microprocessor. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF RC delay, routing, timing, estimation, microprocessors, floorplan, repeaters
17William C. Athas Practical considerations of clock-powered logic. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF ER-CMOS, adiabatic charging, clock-powered logic, energy-recovery CMOS, supply-voltage scaling, microprocessors
17Ruby B. Lee Subword Permutation Instructions for Two-Dimensional Multimedia Processing in MicroSIMD Architectures. Search on Bibsonomy ASAP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF microSIMD, multimedia, microprocessors, computer arithmetic, permutations, processors, digital signal processors, Instruction Set Architecture, fine-grain parallelism, subword parallelism, media processors
17Alan J. Drake, Todd D. Basso, Spencer M. Gold, Keith L. Kraver, Phiroze N. Parakh, Claude R. Gauthier, P. Sean Stetson, Richard B. Brown CGaAs PowerPC FXU. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF design methodology, microprocessors, testing methodology, Gallium Arsenide
17Lucian Codrescu, Mondira Deb Pant, Tarek M. Taha, John Eble, D. Scott Wills, James D. Meindl Exploring Microprocessor Architectures for Gigascale Integration. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Billion Transistor, Future Tecnologies, Architecture, Microprocessors
17Luca Benini, Giovanni De Micheli, Alberto Macii, Enrico Macii, Massimo Poncino Reducing Power Consumption of Dedicated Processors Through Instruction Set Encoding. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Low-Power Design, Microprocessors
17Stephen B. Furber, Jim D. Garside, Steve Temple, Jianwei Liu, Paul Day, N. C. Paver AMULET2e: An Asynchronous Embedded Controller. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Low power, Microprocessors, Asynchronous design, Embedded control
17Kathryn S. McKinley, Steve Carr 0001, Chau-Wen Tseng Improving Data Locality with Loop Transformations. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF loop distribution, loop permutation, loop reversal, simulation, Cache, microprocessors, compiler optimization, data locality, loop transformations, loop fusion
17Pai H. Chou, Ross B. Ortega, Gaetano Borriello The Chinook hardware/software co-synthesis system. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Chinook hardware/software co-synthesis system, custom logic, design co-simulation, design time constraints, embedded controller design, error-prone tasks, function migration, interface hardware, interface software, system components integration, real-time systems, software tools, logic design, microprocessors, logic CAD, microcontrollers, computer-aided design tools
17Michael F. Deering, Scott R. Nelson Leo: a system for cost effective 3D shaded graphics. Search on Bibsonomy SIGGRAPH The full citation details ... 1993 DBLP  DOI  BibTeX  RDF antialiased lines, floating-point microprocessors, gouraud shading, parallel graphics algorithms, rendering, 3D graphics hardware
17Veljko M. Milutinovic, Mark Bettinger, Walter A. Helbig Multiplier/Shifter Design Tradeoffs in a 32-bit Microprocessor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF full barrel shifter, large register file, GaAs microprocessor, logic design, microprocessors, microprocessor chips, design tradeoffs, 32 bits, single chip, bit-serial multiplier
17John L. Hennessy VLSI Processor Architecture. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF instruction issue, processor implementation, VLSI, pipelining, microprocessors, processor architecture, Computer organization, memory mapping, instruction set design
17George W. Cox, William M. Corwin, Konrad K. Lai, Fred J. Pollack Interprocess Communication and Processor Dispatching on the Intel 432 Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1983 DBLP  DOI  BibTeX  RDF dispatching and scheduling, object-based microprocessors, Internet, microarchitecture, interprocess communication
17Alexander R. Bazelow, Jaan Raamot On the Microprocessor Solution of Ordinary Differential Equations Using Integer Arithmetic. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF coupled microprocessors, incremental calculation, Algorithm, errors, differential equation, numerical method, integer arithmetic, contour following
17Richard R. Shively Architecture of a Programmable Digital Signal Processor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1982 DBLP  DOI  BibTeX  RDF signal processors, concurrent subprocessors, real-time, Architecture, microprocessors
17Tomlinson Gene Rauscher, Phillip M. Adams Microprogramming: A Tutorial and Survey of Recent Developments. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1980 DBLP  DOI  BibTeX  RDF microprogramming applications, Bit slice microprocessors, dynamic microprogramming, microprogrammability
17James E. Allchin, Arthur M. Keller, Gio Wiederhold FLASH: A Language-Independent, Portable File Access System. Search on Bibsonomy SIGMOD Conference The full citation details ... 1980 DBLP  DOI  BibTeX  RDF ISAM, Indexed sequential, VSAM, concurrent update, multiple indexes, multiple language, databases, microprocessors, portable, files
14 Retraction notice to "real-time monitoring of the athlete's musculoskeletal health based on an embedded processor" [Microprocessors and Microsystems 81 (2021) 103742]. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14 Retraction notice to 'Medical IoT system platform and elderly patients' femoral shaft fracture nursing': [Microprocessors and Microsystems 82 (2021) 103868]. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14 Retraction notice to "An Improved Multilayer Perceptron Approach for Detecting Sugarcane Yield Production in IoT based Smart Agriculture" [Microprocessors and Microsystems 82 (2021) 103822]. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14 Retraction notice to "Design of Sino-Japanese cross border e-commerce platform based on FPGA and data mining " [Microprocessors and Microsystems 80 (2021) 103360]. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14 Retraction notice to "Design of Embedded Digital Image Processing System Based on Zynq" [Microprocessors and Microsystems 83 (2021) 104005]. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14 Retraction notice to "Intelligent control for new topological structure of Z-Source inverter based on ARM" [Microprocessors and Microsystems 81 (2021) 103735]. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14 Retraction notice to "Optimization of Storage Location Assignment in Automated Warehouse" [Microprocessors and Microsystems 80 (2021)103356]. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14 Retraction notice to "FPGA implementation of PMSG based AC conversion using soft switching twin-mode PWM/FPGA control for high power IM application" [Microprocessors and Microsystems 75 (2020) 103044]. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14 Retraction notice to "Searching and Learning English Translation Long Text Information Based on Heterogeneous Multiprocessors and Data Mining" [Microprocessors and Microsystems 82 (2021) 103895]. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14 Retraction notice to "Business English visualization system based on video surveillance and the internet of things" [Microprocessors and Microsystems 80 (2021) 103639]. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Pablo R. Bodmann, George Papadimitriou 0001, Rubens Luiz Rech Junior, Dimitris Gizopoulos, Paolo Rech Soft Error Effects on Arm Microprocessors: Early Estimations Versus Chip. Search on Bibsonomy Computer The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14 Retraction notice to "Applications of internet of things (IOT) to improve the stability of a grid connected power system using interline power flow controller" [Microprocessors and Microsystems 76 (2020) 103038]. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14 Retraction notice to "Application of Machine Learning and Big Data in Doubly Fed Induction Generator based Stability Analysis of Multi Machine System using Substantial Transformative Optimization Algorithm" [Microprocessors and Microsystems 73 (2020) 102971]. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Anson Ho, Ege Erdil, Tamay Besiroglu Limits to the Energy Efficiency of CMOS Microprocessors. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14José Rodrigo Azambuja, Fernando Sousa, Lucas Rosa, Fernanda Lima Kastensmidt Evaluating the Efficiency of Software-only Techniques to Detect SEU and SET in Microprocessors. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Haibin Lv, Enrico Natalizio, Houbing Song, Shehzad Ashraf 0001 Guest Editorial Medical Image Analysis Embedded on Microprocessors. Search on Bibsonomy IEEE J. Biomed. Health Informatics The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Nikolaos Ioannis Deligiannis, Tobias Faller, Iacopo Guglielminetti, Riccardo Cantoro, Bernd Becker 0001, Matteo Sonza Reorda Automatic Identification of Functionally Untestable Cell-Aware Faults in Microprocessors. Search on Bibsonomy ATS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Kai Feng, Jianjie Wang, Min Tang An Accurate Deep Learning-Based Thermal Reconstruction Technique for Microprocessors Using Embedded Sensors. Search on Bibsonomy ICTA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Miroslav N. Velev Automatic Formal Verification of RISC-V Pipelined Microprocessors with Fault Tolerance by Spatial Redundancy at a High Level of Abstraction. Search on Bibsonomy iFM The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Dheeraj N. Naraharisetti, Ramesh K. Karne, Joel Weymouth, Alexander L. Wijesinha Obsolescence in Operating Systems and Microprocessors. Search on Bibsonomy SERA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Alessandro Palumbo, Luca Cassano, Pedro Reviriego, Marco Ottavi Improving the Detection of Hardware Trojan Horses in Microprocessors via Hamming Codes. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Alessandro Palumbo, Marco Ottavi, Luca Cassano Built-in Software Obfuscation for Protecting Microprocessors against Hardware Trojan Horses. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Anson Ho, Ege Erdil, Tamay Besiroglu Limits to the Energy Efficiency of CMOS Microprocessors. Search on Bibsonomy ICRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Jonathan Certes Méthodes et modèles pour la vérification formelle de l'attestation à distance sur microprocesseur. (Method and models for formal verification of remote attestation on microprocessors). Search on Bibsonomy 2023   RDF
14Xiangyu Mao, Yan Lu 0002, Rui Paulo Martins A Scalable High-Current High-Accuracy Dual-Loop Four-Phase Switching LDO for Microprocessors. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Pablo Bodmann, George Papadimitriou 0001, Rubens Luiz Rech Junior, Dimitris Gizopoulos, Paolo Rech Soft Error Effects on Arm Microprocessors: Early Estimations versus Chip Measurements. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Jinwei Zhang, Sheriff Sadiqbatcha, Michael O'Dea, Hussam Amrouch, Sheldon X.-D. Tan Full-Chip Power Density and Thermal Map Characterization for Commercial Microprocessors Under Heat Sink Cooling. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Matthew P. Manary, Sean P. Willems Data Set: 187 Weeks of Customer Forecasts and Orders for Microprocessors from Intel Corporation. Search on Bibsonomy Manuf. Serv. Oper. Manag. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Aleksa Damljanovic, Annachiara Ruospo, Ernesto Sánchez 0001, Giovanni Squillero Machine learning for hardware security: Classifier-based identification of Trojans in pipelined microprocessors. Search on Bibsonomy Appl. Soft Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Luca Cassano, Mattia Iamundo, Tomas Antonio Lopez, Alessandro Nazzari, Giorgio Di Natale DETON: DEfeating hardware Trojan horses in microprocessors through software ObfuscatioN. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Luca Cassano, Elia Lazzeri, Nikita Litovchenko, Giorgio Di Natale On the optimization of Software Obfuscation against Hardware Trojans in Microprocessors. Search on Bibsonomy DDECS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Michael Pöhnl, Alban Tamisier, Tobias Blass A Middleware Journey from Microcontrollers to Microprocessors. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Nathaniel Bleier, Calvin Lee 0004, Francisco Rodriguez, Antony Sou, Scott White, Rakesh Kumar 0002 FlexiCores: low footprint, high yield, field reprogrammable flexible microprocessors. Search on Bibsonomy ISCA The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 2330 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license