The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for nanotechnology with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1987-1995 (37) 1996 (40) 1998-2001 (17) 2002-2003 (36) 2004 (43) 2005 (48) 2006 (117) 2007 (72) 2008 (48) 2009 (42) 2010 (31) 2011 (93) 2012 (66) 2013 (78) 2014 (73) 2015 (71) 2016 (54) 2017 (62) 2018 (35) 2019 (48) 2020 (48) 2021 (50) 2022 (41) 2023 (56) 2024 (2)
Publication types (Num. hits)
article(338) book(5) incollection(8) inproceedings(929) phdthesis(11) proceedings(17)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 452 occurrences of 271 keywords

Results
Found 1308 publication records. Showing 1308 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Gita Ghiasi, Catherine Beaudry, Vincent Larivière, Carl St-Pierre, Andrea Schiffauerova, Matthew Harsh Who profits from the Canadian nanotechnology reward system? Implications for gender-responsible innovation. Search on Bibsonomy Scientometrics The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Lamjed Touil, Ismail Gassoumi, Abdellatif Mtibaa Design and Implementation of Nanotechnology QCA Geometric Greedy Router. Search on Bibsonomy J. Electr. Comput. Eng. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Milosz Kadzinski, Krzysztof Martyn, Marco Cinelli, Roman Slowinski, Salvatore Corrente, Salvatore Greco Preference disaggregation method for value-based multi-decision sorting problems with a real-world application in nanotechnology. Search on Bibsonomy Knowl. Based Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Deb Newberry Nanotechnology: Lessons from Nature - Discoveries, Research, and Applications Search on Bibsonomy 2021   DOI  RDF
17Richard Wilson 3D printing, nanotechnology and organ printing: An ethical and anticipatory ethical analysis. Search on Bibsonomy ISTAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Shubham Rai, Nishant Gupta, Abhiroop Bhattacharjee, Ansh Rupani, Michael Raitza, Jens Trommer, Thomas Mikolajick, Akash Kumar 0001 END-TRUE: Emerging Nanotechnology-Based Double-Throughput True Random Number Generator. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Luigi Dilillo, Luca Cassano, Athanasios Papadimitriou (eds.) 36th IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2021, Athens, Greece, October 6-8, 2021 Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Vasudeva Bevara, Pradyut Kumar Sanki An Ultra-Low Power Reversible MUX and DEMUX using QCA nanotechnology with energy dissipation. Search on Bibsonomy iSES The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Davide Bellizia, Nadia El Mrabet, Apostolos P. Fournaris, Simon Pontié, Francesco Regazzoni 0001, François-Xavier Standaert, Élise Tasso, Emanuele Valea Post-Quantum Cryptography: Challenges and Opportunities for Robust and Secure HW Design. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Elaheh Malekzadeh, Nezam Rohbani, Zhonghai Lu, Masoumeh Ebrahimi The Impact of Faults on DNNs: A Case Study. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Romain Mercier, Cédric Killian, Angeliki Kritikakou, Youri Helen, Daniel Chillet A Region-Based Bit-Shuffling Approach Trading Hardware Cost and Fault Mitigation Efficiency. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Vijay K. Jain, Glenn H. Chapman Fault Tolerance for Islandable-Microgrid Sensors. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Hardi Selg, Maksim Jenihhin, Peeter Ellervee JÄNES: A NAS Framework for ML-based EDA Applications. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Stavros Simoglou, Christos P. Sotiriou, Nikolaos Blias Static Timing Analysis Induced Simulation Errors for Asynchronous Circuits. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Marcel Merten, Sebastian Huhn 0001, Rolf Drechsler A Codeword-based Compactor for On-Chip Generated Debug Data Using Two-Stage Artificial Neural Networks. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Md Toufiq Hasan Anik, Jean-Luc Danger, Omar Diankha, Mohammad Ebrahimabadi, Christoph Frisch, Sylvain Guilley, Naghmeh Karimi, Michael Pehl, Sofiane Takarabt Testing and Reliability Enhancement of Security Primitives. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Ioanna Souvatzoglou, Athanasios Papadimitriou, Aitzan Sari, Vasileios Vlagkoulis, Mihalis Psarakis Analyzing the Single Event Upset Vulnerability of Binarized Neural Networks on SRAM FPGAs. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Nabanita Ghoshal, Sree Rama K. C. Saraswatula, Santosh Yachareni, Shidong Zhou, Anil Kumar Kandala, Narendra Kumar Pulipati Mitigation of the impact of across chip systematic process variation using a novel system level design. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Alessandro Palumbo, Luca Cassano, Pedro Reviriego, Giuseppe Bianchi 0001, Marco Ottavi A Lightweight Security Checking Module to Protect Microprocessors against Hardware Trojan Horses. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Anuraag Narang, Balaji Venn, S. Saqib Khursheed, Peter Harrod An Exploration of Microprocessor Self-Test Optimisation Based On Safe Faults. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Irith Pomeranz Zoom-In Feature for Storage-Based Logic Built-In Self-Test. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Marko S. Andjelkovic, Oliver Schrape, Anselm Breitenreiter, Junchao Chen 0001, Milos Krstic A Tunable Single Event Transient Filter Based on Digitally Controlled Capacitive Delay Cells. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Glenn H. Chapman, Simone Neufeld, Klinsmann J. Coelho Silva Meneses, Israel Koren, Zahava Koren Dependence of SEUs in Digital Cameras on Pixel size and Elevation. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Panayiotis Corneliou, Panagiota Nikolaou, Maria K. Michael, Theocharis Theocharides Fine-Grained Vulnerability Analysis of Resource Constrained Neural Inference Accelerators. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Mohamed Amine Khelif, Jordane Lorandel, Olivier Romain Non-invasive I2C Hardware Trojan Attack Vector. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Dake Chen, Chunxiao Lin, Peter A. Beerel GF-Flush: A GF(2) Algebraic Attack on Dynamically Secured Scan Chains. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Cristiana Bolchini, Luca Cassano, Andrea Mazzeo, Antonio Miele Usability-based Cross-Layer Reliability Evaluation of Image Processing Applications. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Wei Chang, Yu-Guang Chen, Po-Yeh Huang, Jin-Fu Li 0001 An Aging-Aware CMOS SRAM Structure Design for Boolean Logic In-Memory Computing. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Zhen Gao 0005, Jiajun Xiao, Pedro Reviriego Reliability Evaluation of Digital Channelizers Implemented on SRAM - FPGAs. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Endri Kaja, Nicolas Gerlin, Mounika Vaddeboina, Luis Rivas, Sebastian Siegfried Prebeck, Zhao Han, Keerthikumara Devarajegowda, Wolfgang Ecker Towards Fault Simulation at Mixed Register-Transfer/Gate-Level Models. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Vishal Gupta 0002, Giulio Panunzi, Saurabh Khandelwal, Eugenio Martinelli, Abusaleh M. Jabir, Marco Ottavi Reliability Assessment of Memristor based Gas Sensor Array. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Zhen Gao 0005, Ruize Wang, Haoyu Du, Pedro Reviriego Analysis and Evaluation of the Effects of Single Event Upsets (SEU s) on Memories in Polar Decoders. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Marcello Barbirotta, Abdallah Cheikh, Antonio Mastrandrea, Francesco Menichelli, Francesco Vigli, Mauro Olivieri A Fault Tolerant soft-core obtained from an Interleaved-Multi- Threading RISC- V microprocessor design. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Sandeep Kumar, Atin Mukherjee 0001 A Self-Healing, High Performance and Low-Cost Radiation Hardened Latch Design. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Aneesh Balakrishnan, Guilherme Cardoso Medeiros, Cemil Cem Gürsoy, Said Hamdioui, Maksim Jenihhin, Dan Alexandrescu Modeling Soft-Error Reliability Under Variability. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Puneet Ramesh Savanur, Spyros Tragoudas A Fault Model to Detect Design Errors in Combinational Circuits. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Zahra Kazemi, Amin Norollah, Afef Kchaou, Mahdi Fazeli, David Hély, Vincent Beroulle An In-Depth Vulnerability Analysis of RISC-V Micro-Architecture Against Fault Injection Attack. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Stéphane Burel, Adrian Evans, Lorena Anghel Zero-Overhead Protection for CNN Weights. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Pelopidas Tsoumanis, Georgios Ioannis Paliaroutis, Nestoras E. Evmorfopoulos, George I. Stamoulis On the Impact of Electrical Masking and Timing Analysis on Soft Error Rate Estimation in Deep Submicron Technologies. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17L. Degli Abbati, Rudolf Ullmann, G. Paganini, M. Coppetta, L. Zaia, Vincent Huard, O. Montfort, Riccardo Cantoro, Giorgio Insinga, F. Venini, P. Calao, Paolo Bernardi Industrial best practice: cases of study by automotive chip- makers. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Hideyuki Ichihara, Takayuki Fukuda, Tomoo Inoue A Design of Reliable Linear FSMs with Equivalent States in Stochastic Computing. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Christos Georgakidis, Iordanis Lilitsis, Georgios Stanimeropoulos, Christos P. Sotiriou RADPlace: A Timing-aware RAdiation-Hardening Detailed Placement Scheme Satisfying TMR Spacing Constraints. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Corrado De Sio, Sarah Azimi, Andrea Portaluri, Luca Sterpone SEU Evaluation of Hardened-by-Replication Software in RISC- V Soft Processor. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Sébastien Thomet, Serge De Paoli, Jean-Marc Daveau, Valérie Bertin, Fady Abouzeid, Philippe Roche, Fakhreddine Ghaffari, Olivier Romain FIRECAP: Fail-Reason Capturing hardware module for a RISC-V based System on a Chip. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Pijush Kanti Dutta Pramanik, Arun Solanki, Abhinaba Debnath, Anand Nayyar, Shaker H. Ali El-Sappagh, Kyung-Sup Kwak Advancing Modern Healthcare With Nanotechnology, Nanobiosensors, and Internet of Nano Things: Taxonomies, Applications, Architecture, and Challenges. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Damilola E. Babatunde, Iheanacho H. Denwigwe, Olubayo M. Babatunde, Saheed Lekan Gbadamosi, Ifeoluwa P. Babalola, Oluranti Agboola Environmental and Societal Impact of Nanotechnology. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Aimé Lay-Ekuakille Needing measurements and instrumentation within the nanotechnology world: IEEE IMS TC-34 experience. Search on Bibsonomy IEEE Instrum. Meas. Mag. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Maliheh Norouzi, Saeed Rasouli Heikalabad, Fereshteh Salimzadeh A reversible ALU using HNG and Ferdkin gates in QCA nanotechnology. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Mesbah Uddin, Md. Badruddoja Majumder, Md Sakib Hasan, Garrett S. Rose A Secure Back-up and Restore for Resource-Constrained IoT based on Nanotechnology. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
17Randy Bryant, Mark D. Hill, Tom Kazior, Daniel Lee, Jie Liu, Klara Nahrstedt, Vijay Narayanan, Jan M. Rabaey, Hava T. Siegelmann, Naresh R. Shanbhag, Naveen Verma, H.-S. Philip Wong Nanotechnology-inspired Information Processing Systems of the Future. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
17Amjad Aljaloud, Sally A. Peyman, Almut Beige A Quantum Heat Exchanger for Nanotechnology. Search on Bibsonomy Entropy The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Harsh Kumar, Kamil Kuca, Shashi Kant Bhatia, Kritika Saini, Ankur Kaushal, Rachna Verma, Tek Chand Bhalla, Dinesh Kumar Applications of Nanotechnology in Sensor-Based Detection of Foodborne Pathogens. Search on Bibsonomy Sensors The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Runjhun Saran, Yong Wang 0039, Isaac T. S. Li Mechanical Flexibility of DNA: A Quintessential Tool for DNA Nanotechnology. Search on Bibsonomy Sensors The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Deb Newberry Nanotechnology Past and Present Search on Bibsonomy 2020   DOI  RDF
17Wujie Zhang Nanotechnology for Bioengineers Search on Bibsonomy 2020   DOI  RDF
17Luigi Dilillo, Mihalis Psarakis, Taniya Siddiqua (eds.) IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2020, Frascati, Italy, October 19-21, 2020 Search on Bibsonomy DFT The full citation details ... 2020 DBLP  BibTeX  RDF
17Antonios Stellas, George Giannakopoulos, Vassilios Constantoudis Hybridizing AI and Domain Knowledge in Nanotechnology: the Example of Surface Roughness Effects on Wetting Behavior. Search on Bibsonomy SETN Workshops The full citation details ... 2020 DBLP  BibTeX  RDF
17Zhen Gao 0005, Xiaohui Wei, Han Zhang, Wenshuo Li, Guangjun Ge, Yu Wang 0002, Pedro Reviriego Reliability Evaluation of Pruned Neural Networks against Errors on Parameters. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Irith Pomeranz Improving a Test Set to Cover Test Holes by Detecting Gate-Exhaustive Faults. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Annachiara Ruospo, Angelo Balaara, Alberto Bosio, Ernesto Sánchez 0001 A Pipelined Multi-Level Fault Injector for Deep Neural Networks. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Dario Mamone, Alberto Bosio, Alessandro Savino, Said Hamdioui, Maurizio Rebaudengo On the Analysis of Real-time Operating System Reliability in Embedded Systems. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Sergi Alcaide, Leonidas Kosmidis, Carles Hernández 0001, Jaume Abella 0001 Software-only based Diverse Redundancy for ASIL-D Automotive Applications on Embedded HPC Platforms. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Junchao Chen 0001, Thomas Lange, Marko S. Andjelkovic, Aleksandar Simevski, Milos Krstic Hardware Accelerator Design with Supervised Machine Learning for Solar Particle Event Prediction. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Avijit Chakraborty, D. M. H. Walker Observability Driven Path Generation for Delay Test Coverage Improvement in Scan Limited Circuits. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Rubens Luiz Rech Junior, Paolo Rech Impact of Layers Selective Approximation on CNNs Reliability and Performance. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Marcello Barbirotta, Antonio Mastrandrea, Francesco Menichelli, Francesco Vigli, Luigi Blasi, Abdallah Cheikh, Stefano Sordillo, Fabio Di Gennaro, Mauro Olivieri Fault resilience analysis of a RISC-V microprocessor design through a dedicated UVM environment. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Siva Satyendra Sahoo, Bharadwaj Veeravalli, Akash Kumar 0001 Markov Chain-based Modeling and Analysis of Checkpointing with Rollback Recovery for Efficient DSE in Soft Real-time Systems. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Christos Georgakidis, Christos P. Sotiriou Radiation Hardening Legalisation Satisfying TMR Spacing Constraints with Respect to HPWL. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Aein Rezaei Shahmirzadi, Amir Moradi 0001 Clock Glitch versus SIFA. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Danilo Cappellone, Stefano Di Mascio, Gianluca Furano, Alessandra Menicucci, Marco Ottavi On-Board Satellite Telemetry Forecasting with RNN on RISC-V Based Multicore Processor. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Xiaohan Yang, Saurabh Khandelwal, Aiqi Jiang, Abusaleh M. Jabir A Modelling Attack Resistant Low Overhead Memristive Physical Unclonable Function. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Gianluca Furano, Antonis Tavoularis, Marco Rovatti AI in space: applications examples and challenges. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Basma Hajri, Mohammad M. Mansour, Ali Chehab, Hassen Aziza A Lightweight Reconfigurable RRAM-based PUF for Highly Secure Applications. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Riccardo Cantoro, Nikolaos Ioannis Deligiannis, Matteo Sonza Reorda, Marcello Traiola, Emanuele Valea Evaluating Data Encryption Effects on the Resilience of an Artificial Neural Network. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Hussein Bazzi, Jérémy Postel-Pellerin, Hassen Aziza, Mathieu Moreau, Adnan Harb Resistive RAM SET and RESET Switching Voltage Evaluation as an Entropy Source for Random Number Generation. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Zhen Gao 0005, Han Zhang, Xiaohui Wei, Tong Yan, Kangkang Guo, Wenshuo Li, Yu Wang 0002, Pedro Reviriego Reliable Classification with Ensemble Convolutional Neural Networks. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Maryam Rajabalipanah, Seyedeh Maryam Ghasemi, Nooshin Nosrati, Katayoon Basharkhah, Saba Yousefzadeh, Zainalabedin Navabi Reducing DFT hardware overhead by use of a test microprogram in a microprogrammed hardware accelerator. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Dimitris Theodoropoulos, Nektarios Kranitis, Antonis Tsigkanos, Antonis M. Paschalis Efficient LDPC Encoder Designs for Magnetic Recording Media. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Corrado De Sio, Sarah Azimi, Luca Sterpone An Emulation Platform for Evaluating the Reliability of Deep Neural Networks. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Athanasios Papadimitriou, Konstantinos Nomikos, Mihalis Psarakis, Ehsan Aerabi, David Hély You can detect but you cannot hide: Fault Assisted Side Channel Analysis on Protected Software-based Block Ciphers. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Cristiana Bolchini, Luca Cassano, Antonio Miele, Matteo Biasielli Lightweight Fault Detection and Management for Image Restoration. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Alexander Sprenger, Somayeh Sadeghi Kohan, Jan Dennis Reimer, Sybille Hellebrand Variation-Aware Test for Logic Interconnects using Neural Networks - A Case Study. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Payam Habiby, Sebastian Huhn 0001, Rolf Drechsler Power-aware Test Scheduling for IEEE 1687 Networks with Multiple Power Domains. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Nagabhushan Reddy, Sankaran Menon, Prashant D. Joshi Validation Challenges in Recent Trends of Power Management in Microprocessors. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Vishal Gupta 0002, Danilo Pellegrini, Saurabh Khandelwal, Abusaleh M. Jabir, Shahar Kvatinsky, Eugenio Martinelli, Corrado Di Natale, Marco Ottavi Sensing with Memristive Complementary Resistive Switch: Modelling and Simulations. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Ryuki Asami, Toshinori Hosokawa, Masayoshi Yoshimura, Masayuki Arai A Multiple Target Test Generation Method for Gate-Exhaustive Faults to Reduce the Number of Test Patterns Using Partial MaxSAT. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Giorgio Di Natale, Francesco Regazzoni 0001, Vincent Albanese, Frank Lhermet, Yann Loisel, Abderrahmane Sensaoui, Samuel Pagliarini Latest Trends in Hardware Security and Privacy. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Yiannakis Sazeides, Arkady Bramnik, Ron Gabor, Chrysostomos Nicopoulos, Ramon Canal, Dimitris Konstantinou, Giorgos Dimitrakopoulos 2D Error Correction for F/F based Arrays using In-Situ Real-Time Error Detection (RTD). Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Glenn H. Chapman, Rohan Thomas, Klinsmann J. Coelho Silva Meneses, Ruoyi Zhao, Israel Koren, Zahava Koren Using digital imagers to characterize the dependence of energy and area distributions of SEUs on elevation. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17T. Vayssade, Florence Azaïs, Laurent Latorre, François Lefevre EVM measurement of RF ZigBee transceivers using standard digital ATE. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Lucas Matana Luza, Daniel Söderström, Georgios Tsiligiannis, Helmut Puchner, Carlo Cazzaniga, Ernesto Sánchez 0001, Alberto Bosio, Luigi Dilillo Investigating the Impact of Radiation-Induced Soft Errors on the Reliability of Approximate Computing Systems. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Soultana Ellinidou, Gaurav Sharma 0006, Olivier Markowitch, Guy Gogniat, Jean-Michel Dricot A novel Network-on-Chip security algorithm for tolerating Byzantine faults. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Alan L. Porter, Jon Garner, Nils C. Newman, Stephen F. Carley, Jan L. Youtie, Seokbeom Kwon, Yin Li National nanotechnology research prominence. Search on Bibsonomy Technol. Anal. Strateg. Manag. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Antonio Miele, Martin A. Trefzer, S. Saqib Khursheed (eds.) Guest Editorial: Defect and Fault Tolerance in VLSI and Nanotechnology Systems. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Reza Binaei, Mohammad Gholami Design of novel D flip-flops with set and reset abilities in quantum-dot cellular automata nanotechnology. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Teresa Muñoz-Écija, Benjamín Vargas-Quesada, Zaida Chinchilla-Rodríguez Coping with methods for delineating emerging fields: Nanoscience and nanotechnology as a case study. Search on Bibsonomy J. Informetrics The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Teresa Muñoz-Écija, Benjamín Vargas-Quesada, Zaida Chinchilla-Rodríguez Coping with the delineation of emerging fields: Nanoscience and Nanotechnology as a case study. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
17Azath Mubarakali, Jayabrabu Ramakrishnan, Dinesh Mavaluru, Amria Elsir, Omer Elsier, Karzan Wakil A new efficient design for random access memory based on quantum dot cellular automata nanotechnology. Search on Bibsonomy Nano Commun. Networks The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Avi Karsenty, Yaakov Mandelbaum Computer Algebra Challenges in Nanotechnology: Accurate Modeling of Nanoscale Electro-optic Devices Using Finite Elements Method. Search on Bibsonomy Math. Comput. Sci. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Thara Prabhakaran, Hiran H. Lathabai, Susan George Competing, complementary and co-existing paradigms in techno-scientific literature: A case study of Nanotechnology for engineering. Search on Bibsonomy Scientometrics The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 1308 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license