The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for placement with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1974 (19) 1975-1976 (15) 1977-1979 (22) 1980-1981 (16) 1982-1983 (24) 1984 (27) 1985 (26) 1986 (26) 1987 (32) 1988 (59) 1989 (61) 1990 (83) 1991 (70) 1992 (68) 1993 (64) 1994 (105) 1995 (111) 1996 (112) 1997 (139) 1998 (171) 1999 (197) 2000 (234) 2001 (257) 2002 (302) 2003 (397) 2004 (451) 2005 (570) 2006 (603) 2007 (649) 2008 (669) 2009 (551) 2010 (388) 2011 (436) 2012 (449) 2013 (566) 2014 (551) 2015 (643) 2016 (643) 2017 (791) 2018 (838) 2019 (982) 2020 (922) 2021 (962) 2022 (978) 2023 (949) 2024 (223)
Publication types (Num. hits)
article(6401) book(10) data(5) incollection(69) inproceedings(9778) phdthesis(188)
Venues (Conferences, Journals, ...)
CoRR(860) DAC(375) IEEE Trans. Comput. Aided Des....(334) ICCAD(252) IEEE Access(221) ISPD(208) GLOBECOM(171) ICC(167) ASP-DAC(163) DATE(127) Sensors(123) ICRA(109) INFOCOM(102) FPL(101) ACC(94) FPGA(88) More (+10 of total 2867)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5436 occurrences of 2452 keywords

Results
Found 16451 publication records. Showing 16451 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
35Keoncheol Shin, Taewhan Kim Tight integration of timing-driven synthesis and placement of parallel multiplier circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Qinghua Liu, Bo Hu 0006, Malgorzata Marek-Sadowska Individual wire-length prediction with application to timing-driven placement. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Satrajit Chatterjee, Robert K. Brayton A new incremental placement algorithm and its application to congestion-aware divisor extraction. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Charles J. Alpert, Gi-Joon Nam, Paul G. Villarrubia Effective free space management for cut-based placement via analytical constraint generation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35Bo Hu 0006, Malgorzata Marek-Sadowska FAR: fixed-points addition & relaxation based placement. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Arun Venkataramani, Phoebe Weidmann, Michael Dahlin Bandwidth constrained placement in a WAN. Search on Bibsonomy PODC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
35Rajnish K. Prasad, Israel Koren The Effect of Placement on Yield for Standard Cell Designs. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
35Yeong-Yil Yang, Chong-Min Kyung HALO: an efficient global placement strategy for standard cells. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
35Sung-Soo Kim, Chong-Min Kyung Circuit placement on arbitrarily shaped regions using the self-organization principle. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
33Shervin Sharifi, Tajana Simunic Rosing An analytical model for the upper bound on temperature differences on a chip. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF temperature difference, temperature variation, temperature, thermal management, sensor placement
33Ronald Plak, Roger Görtzen, Erik Puik Statically Determined Gripper Construction. Search on Bibsonomy IPAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF gripper, micro system, membrane, placement accuracy, collision, assembly, impact
33Andrew B. Kahng, Qinke Wang An analytic placer for mixed-size placement and timing-driven placement. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Saurabh N. Adya, Igor L. Markov Consistent placement of macro-blocks using floorplanning and standard-cell placement. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32Ang-Chih Hsieh, Tzu-Teng Lin, Tsuang-Wei Chang, TingTing Hwang A functionality-directed clustering technique for low-power MTCMOS design - computation of simultaneously discharging current. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF DSTN, low power, MTCMOS, sleep transistor
32Lihong Zhang, Ulrich Kleine, Yingtao Jiang An automated design tool for analog layouts. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32S. M. Nazrul Alam, Zygmunt J. Haas Coverage and connectivity in three-dimensional networks. Search on Bibsonomy MobiCom The full citation details ... 2006 DBLP  DOI  BibTeX  RDF 3D networks, Kelvin's conjecture, hexagonal prism, rhombic dodecahedron, three-dimensional networks, truncated octahedron, wireless networks, connectivity, coverage, polyhedron, underwater networks
32Ali Ahmadinia Optimal Free-Space Management and Routing-Conscious Dynamic Placement for Reconfigurable Devices. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF free-space manager, routing-conscious placement, line-sweep technique, optimal runtime, field-programmable gate array (FPGA), lower bounds, Reconfigurable hardware, geometric optimization, module placement
32Guilherme Flach, Marcelo O. Johann, Renato Fernandes Hentschke, Ricardo Reis 0001 Cell placement on graphics processing units. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cell placement, CAD, GPU, GPGPU, quadratic placement, stream processor
32Yue Zhuo, Hao Li, Qiang Zhou 0001, Yici Cai, Xianlong Hong New timing and routability driven placement algorithms for FPGA synthesis. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF congestion driven placement, physical synthesis, timing driven placement, net weight
31Huimin Bian, Andrew C. Ling, Alexander Choong, Jianwen Zhu Towards scalable placement for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, convex optimization, quadratic placement, bipartite matching
31Bo Tan 0002, Laurent Massoulié Brief announcement: adaptive content placement for peer-to-peer video-on-demand systems. Search on Bibsonomy PODC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF content placement, peer-to-peer, video-on-demand, loss network
31Cheng-Wu Lin, Jai-Ming Lin, Chun-Po Huang, Soon-Jyh Chang Performance-driven analog placement considering boundary constraint. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF analog placement, boundary constraint, symmetry
31Sabyasachi Roy, Himabindu Pucha, Zheng Zhang 0009, Y. Charlie Hu, Lili Qiu On the placement of infrastructure overlay nodes. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reliability, TCP, NP-completeness, TCP/IP, placement, overlay networks
31Roozbeh Jafari, Hassan Ghasemzadeh 0001, Foad Dabiri, Ani Nahapetian, Majid Sarrafzadeh An efficient placement and routing technique for fault-tolerant distributed embedded computing. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fault tolerance, sensor networks, routing, placement, Distributed embedded system
31Byunghyun Lee, Ki-Seok Chung, Bontae Koo, Nak-Woong Eum, Taewhan Kim Thermal sensor allocation and placement for reconfigurable systems. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF optimal placement, unate-covering problem, reconfigurable system, Thermal sensor
31Jing Li 0072, Bo Yang 0004, Xiaochuan Hu, Qing Dong 0002, Shigetoshi Nakatake STI stress aware placement optimization based on geometric programming. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF sti stress, sti well width, placement optimization
31Chris Harrison 0001, Scott E. Hudson Lightweight material detection for placement-aware mobile computing. Search on Bibsonomy UIST The full citation details ... 2008 DBLP  DOI  BibTeX  RDF material detection, placement detection, context-aware, mobile devices, sensors, location, cell phones, laptops, pdas, situationally appropriate interaction
31Mark Po-Hung Lin, Shyh-Chang Lin Analog placement based on hierarchical module clustering. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF analog placement, floorplanning
31Ping-Hung Yuh, Chia-Lin Yang, Yao-Wen Chang Placement of defect-tolerant digital microfluidic biochips using the T-tree formulation. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF placement, Microfluidics, biochip
31Tao Xie 0004, Yao Sun 0006 No More Energy-Performance Trade-Off: A New Data Placement Strategy for RAID-Structured Storage Systems. Search on Bibsonomy HiPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF response time, energy conservation, RAID, Data placement
31Bin Lin 0001, Pin-Han Ho, Liang-Liang Xie, Xuemin Shen Optimal relay station placement in IEEE 802.16j networks. Search on Bibsonomy IWCMC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF compress-forward, decode-forward, mobile multi-hop relay network, placement problem
31Tan Yan, Shuting Li, Yasuhiro Takashima, Hiroshi Murata A Theoretical Study on Wire Length Estimation Algorithms for Placement with Opaque Blocks. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF shortest obstacle-avoiding routing length, wire length estimation algorithms, shortest routing length, routing obstacles, block placement, computational geometry, VLSI CAD
31Jianhua Li, Laleh Behjat, Jie Huang An effective clustering algorithm for mixed-size placement. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF placement, hypergraph clustering
31Shiyan Hu, Jiang Hu Pattern sensitive placement for manufacturability. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF placement, physical design, manufacturability
31Andrew B. Kahng, Swamy Muddu, Puneet Sharma Detailed placement for leakage reduction using systematic through-pitch variation. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF aCLV, through-pitch, leakage, lithography, detailed placement
31Ann L. Chervenak, Robert Schuler A data placement service for petascale applications. Search on Bibsonomy PDSW The full citation details ... 2007 DBLP  DOI  BibTeX  RDF distributed computing, data management, workflow management, data placement, data staging
31Christian Panse, Mike Sips, Daniel A. Keim, Stephen C. North Visualization of Geo-spatial Point Sets via Global Shape Transformation and Local Pixel Placement. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Geo-spatial Data, Pixel Placement, Shape Transformation, Cartogram
31Di Long, Xianlong Hong, Sheqin Dong Signal-path driven partition and placement for analog circuit. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF analog placement, device merging, layout automation, signal-path, symmetry constrain, circuit partition
31Shinichi Kouda, Chikaaki Kodama, Kunihiro Fujiyoshi Improved method of cell placement with symmetry constraints for analog IC layout design. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF symmetry constraints, linear programming, placement, analog circuits, sequence-pair
31Jarrod A. Roy, David A. Papa, Aaron N. Ng, Igor L. Markov Satisfying whitespace requirements in top-down placement. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF placement, physical design, floorplanning
31Ta Nguyen Binh Duong, Suiping Zhou Server Placement for Enhancing the Interactivity of Large-Scale Distributed Virtual Environments. Search on Bibsonomy CW The full citation details ... 2006 DBLP  DOI  BibTeX  RDF interactivity, distributed virtual environments, Server placement
31Ping-Hung Yuh, Chia-Lin Yang, Yao-Wen Chang Placement of digital microfluidic biochips using the t-tree formulation. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF placement, floorplanning, microfluidics, biochip
31Xueyan Tang, Jianliang Xu QoS-Aware Replica Placement for Content Distribution. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF quality of service, dynamic programming, replication, NP-complete, placement, Content distribution
31Roozbeh Jafari, Foad Dabiri, Bo-Kyung Choi, Majid Sarrafzadeh Efficient placement and routing in grid-based networks. Search on Bibsonomy SAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF fault-tolerance, sensor networks, routing, placement
31Bo Hu 0006, Yue Zeng, Malgorzata Marek-Sadowska mFAR: fixed-points-addition-based VLSI placement algorithm. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF placement, fixed points, force-directed
31Taraneh Taghavi, Xiaojian Yang, Bo-Kyung Choi Dragon2005: large-scale mixed-size placement tool. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF placement, physical design
31Leen-Kiat Soh, Ashok Samal, Suzette Person, Gwen Nugent, Jeff Lang Designing, implementing, and analyzing a placement test for introductory CS courses. Search on Bibsonomy SIGCSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF placement examination, Bloom's taxonomy
31Tetsuya Iizuka, Makoto Ikeda, Kunihiro Asada Exact minimum-width transistor placement without dual constraint for CMOS cells. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF exact minimum-width transistor placement, non-dual, boolean satisfiability
31R. Manimegalai, E. Siva Soumya, Vaishnavi Muralidharan, Balaraman Ravindran, V. Kamakoti 0001, D. Bhatia Placement and Routing for 3D-FPGAs Using Reinforcement Learning and Support Vector Machines. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Three-Dimensional FPGA, Reinforcement Learning (RL), Two-opt algorithm, Support Vector Machines (SVMs), Placement and Routing
31Stelian Alupoaei, Srinivas Katkoori Net Clustering Based Constructive and Iterative Improvement Approaches for Macro-Cell Placement. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF net clustering, macro-cell placement, cluster growth, wirelength optimization, simulated annealing
31Christopher Stewart, Kai Shen, Sandhya Dwarkadas, Michael L. Scott, Jian Yin 0002 Profile-Driven Component Placement for Cluster-Based Online Services. Search on Bibsonomy IEEE Distributed Syst. Online The full citation details ... 2004 DBLP  DOI  BibTeX  RDF component placement, component profile, clusters, RUBiS, Enterprise JavaBeans, online services
31Marc J. van Kreveld, Étienne Schramm, Alexander Wolff 0001 Algorithms for the placement of diagrams on maps. Search on Bibsonomy GIS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cartographic visualization, placement algorithms
31Ramprasad Ravichandran, Nihal Ladiwala, Jean Nguyen, Michael T. Niemier, Sung Kyu Lim Automatic cell placement for quantum-dot cellular automata. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF quantum cell automata, placement
31Milos Hrkic, John Lillis, Giancarlo Beraudo An approach to placement-coupled logic replication. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF placement, timing optimization, programmable logic, logic replication
31Jing Li, Tan Yan, Bo Yang 0011, Juebang Yu, Chunhui Li A packing algorithm for non-manhattan hexagon/triangle placement design by using an adaptive o-tree representation. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF O-tree representation, VLSI circuit physical design, Y- architecture, diagonal wiring, non-Manhattan layout, placement
31Stefan Thomas Obenaus, Ted H. Szymanski Gravity: Fast placement for 3-D VLSI. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF 3-D VLSI, 3-D integrated circuits, Placement
31Andrew B. Kahng, Ion I. Mandoiu, Pavel A. Pevzner, Sherief Reda, Alexander Zelikovsky Engineering a scalable placement heuristic for DNA probe arrays. Search on Bibsonomy RECOMB The full citation details ... 2003 DBLP  DOI  BibTeX  RDF DNA arrays, border minimization, probe placement
31Fan Mo, Robert K. Brayton Fishbone: a block-level placement and routing scheme. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF routing, placement
31Huaiyu Xu, Maogang Wang, Bo-Kyung Choi, Majid Sarrafzadeh A Trade-off Oriented Placement Tool. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Quality, Placement, Runtime
31Hongyu Chen, Chung-Kuan Cheng, Nan-Chi Chou, Andrew B. Kahng, John F. MacDonald, Peter Suaris, Bo Yao, Zhengyong Zhu An algebraic multigrid solver for analytical placement with layout based clustering. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF algebraic multigrid method, layout based clustering, analytical placement
31William Chow, Jonathan Rose EVE: a CAD tool for manual placement and pipelining assistance of FPGA circuits. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF event horizon, manual placement and pipelining, FPGA, programmable logic
31Erez Petrank, Dror Rawitz The hardness of cache conscious data placement. Search on Bibsonomy POPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Cache conscious data placement, cache conscious code rearrangement, computational complexity, memory management, hardness of approximation
31Ke Zhong, Shantanu Dutt Algorithms for simultaneous satisfaction of multiple constraints and objective optimization in a placement flow with application to congestion control. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF congestion reduction, connector generation, intermediate relaxation, minimization of objective deterioration, multi-constraint satisfaction, partitioning-driven placement
31Lu Ruan 0001, Ding-Zhu Du, Xiao-Dong Hu 0001, Xiaohua Jia, Deying Li 0001, Zheng Sun Converter Placement Supporting Broadcast in WDM Optical Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF converter placement, Color-Covering, Vertex Color-Covering, optical networks, WDM, Network optimization
31Ganapathy Parthasarathy, Malgorzata Marek-Sadowska, Arindam Mukherjee 0001, Amit Singh 0001 Interconnect complexity-aware FPGA placement using Rent's rule. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Rent's exponent, interconnect, placement
31Juan de Vicente, Juan Lanchares, Román Hermida Adaptive FPGA Placement by Natural Optimization. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Combinatorial optimization, reconfigurable, placement
31Jens Vygen Algorithms for Detailed Placement of Standard Cells. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF combinatorial optimization, standard cells, Detailed placement
31Chan-Ik Park Efficient Placement of Parity and Data to Tolerate Two Disk Failures in Disk Array Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF parity placement, Data protection, disk array, I/O performance, disk failures
31Kai-Yuan Chao, D. F. Wong 0001 Thermal placement for high-performance multichip modules. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF thermal placement, high-performance multichip modules, electrical performance requirements, thermal behavior, high-speed chips, multichip module packages, cooling environments, conduction cooling, convection cooling, chip junction temperatures, circuit analysis computing, multichip modules, thermal models, cooling, convection
31H. J. Kappen, F. M. J. de Bont An efficient placement method for large standard-cell and sea-of-gates designs. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF optimization, Quadratic Assignment Problem, recursive partitioning, Standard Cell placement
30Zohreh Karimi, Majid Sarrafzadeh Power aware placement for FPGAs with dual supply voltages. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Tung-Chieh Chen, Minsik Cho, David Z. Pan, Yao-Wen Chang Metal-Density-Driven Placement for CMP Variation and Routability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Jason Cong, Min Xie 0004 A Robust Mixed-Size Legalization and Detailed Placement Algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Bernhard Scholz, Bernd Burgstaller, Jingling Xue Minimal placement of bank selection instructions for partitioned memory architectures. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Partitioned boolean quadratic programming, bank selection, partitioned memory architectures
30Kazuya Matsuo, Kouji Murakami, Tsutomu Hasegawa, Ryo Kurazume A decision method for the placement of tactile sensors for manipulation task recognition. Search on Bibsonomy ICRA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Xiaoli Li 0012, Hongchi Shi, Yi Shang Selective Anchor Placement Algorithm for Ad-Hoc Wireless Sensor Networks. Search on Bibsonomy ICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Tao Luo 0002, David Z. Pan DPlace2.0: A stable and efficient analytical placement based on diffusion. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Hsin-Chen Chen, Yi-Lin Chuang, Yao-Wen Chang, Yung-Chung Chang Constraint graph-based macro placement for modern mixed-size circuit designs. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Yifang Liu, Rupesh S. Shelar, Jiang Hu Delay-optimal simultaneous technology mapping and placement with applications to timing optimization. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Ming Zhong 0006, Kai Shen, Joel I. Seiferas Correlation-Aware Object Placement for Multi-Object Operations. Search on Bibsonomy ICDCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Chun-Chieh Lin, Chuen-Liang Chen Object Placement for Fully Associative Cache. Search on Bibsonomy EUC (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Akshat Verma, Puneet Ahuja, Anindya Neogi pMapper: Power and Migration Cost Aware Application Placement in Virtualized Systems. Search on Bibsonomy Middleware The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Shinichi Koda, Chikaaki Kodama, Kunihiro Fujiyoshi Linear Programming-Based Cell Placement With Symmetry Constraints for Analog IC Layout. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Puneet Gupta 0001, Andrew B. Kahng, Chul-Hong Park Detailed Placement for Enhanced Control of Resist and Etch CDs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Devang Jariwala, John Lillis RBI: Simultaneous Placement and Routing Optimization Technique. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Lili Zhou, Cherry Wakayama, C.-J. Richard Shi CASCADE: A Standard Supercell Design Methodology With Congestion-Driven Placement for Three-Dimensional Interconnect-Heavy Very Large-Scale Integrated Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Akshat Verma, Upendra Sharma, Rohit Jain, Koustuv Dasgupta Compass: Cost of Migration-aware Placement in Storage Systems. Search on Bibsonomy Integrated Network Management The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Huan Ren, Shantanu Dutt Constraint satisfaction in incremental placement with application to performance optimization under power constraints. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Yanfeng Wang, Qiang Zhou 0001, Xianlong Hong, Yici Cai Clock-Tree Aware Placement Based on Dynamic Clock-Tree Building. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Jing Tian, Zhi Yang 0001, Yafei Dai A Data Placement Scheme with Time-Related Model for P2P Storages. Search on Bibsonomy Peer-to-Peer Computing The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Mark Po-Hung Lin, Shyh-Chang Lin Analog Placement Based on Novel Symmetry-Island Formulation. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Bin Liu 0007, Yici Cai, Qiang Zhou 0001, Xianlong Hong Power driven placement with layout aware supply voltage assignment for voltage island generation in Dual-Vdd designs. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Bo Hu Timing-driven placement for heterogeneous field programmable gate array. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Min Pan, Chris C. N. Chu FastRoute: a step to integrate global routing into placement. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Sooyong Kang, Youjip Won, Seunghyun Roh Harmonic placement: file system support for scalable streaming of layer encoded object. Search on Bibsonomy NOSSDAV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF layered encoding, multimedia, file system, scalable streaming
30Akshat Verma, Ashok Anand On Store Placement for Response Time Minimization in Parallel Disks. Search on Bibsonomy ICDCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Jurjen Westra, Patrick Groeneveld Post-Placement Pin Optimiztion. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Akshay Sharma, Carl Ebeling, Scott Hauck Architecture Adaptive Routability-Driven Placement for FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Pradeep Ramachandaran, Ameya R. Agnihotri, Satoshi Ono, Purushothaman Damodaran, Krishnaswami Srihari, Patrick H. Madden Optimal placement by branch-and-price. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Liang Huang, Yici Cai, Qiang Zhou 0001, Xianlong Hong, Jiang Hu, Yongqiang Lu 0001 Clock network minimization methodology based on incremental placement. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 16451 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license