|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 4401 occurrences of 2030 keywords
|
|
|
Results
Found 5686 publication records. Showing 5677 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
41 | Alban Douillet, José Nelson Amaral, Guang R. Gao |
Fine-Grain Stacked Register Allocation for the Itanium Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, 15th Workshop, LCPC 2002, College Park, MD, USA, July 25-27, 2002, Revised Papers, pp. 344-361, 2002, Springer, 3-540-30781-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
41 | Deepankar Bairagi, Santosh Pande, Dharma P. Agrawal |
A Framework for Enhancing Code Quality in Limited Register Set Embedded Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Languages, Compilers, and Tools for Embedded Systems, ACM SIGPLAN Workshop LCTES 2000, Vancouver, BC, Canada, June 18, 2000, Proceedings, pp. 81-95, 2000, Springer, 3-540-41781-8. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
41 | Jason Hiser, Steve Carr 0001, Philip H. Sweany |
Global Register Partitioning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 2000 International Conference on Parallel Architectures and Compilation Techniques (PACT'00), Philadelphia, Pennsylvania, USA, October 15-19, 2000, pp. 13-23, 2000, IEEE Computer Society, 0-7695-0622-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
41 | Guei-Yuan Lueh, Thomas R. Gross |
Call-Cost Directed Register Allocation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN '97 Conference on Programming Language Design and Implementation (PLDI), Las Vegas, Nevada, USA, June 15-18, 1997, pp. 296-307, 1997, ACM, 0-89791-907-6. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
41 | David J. Kolson, Alexandru Nicolau, Nikil D. Dutt, Ken Kennedy |
Optimal register assignment to loops for embedded code generation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 1(2), pp. 251-279, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
embedded systems, code generation, system design |
41 | Cindy Norris, Lori L. Pollock |
register Allocation over the Program Dependence Graph. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN'94 Conference on Programming Language Design and Implementation (PLDI), Orlando, Florida, USA, June 20-24, 1994, pp. 266-277, 1994, ACM, 0-89791-662-X. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
41 | Soma Chaudhuri, Jennifer L. Welch |
Bounds on the Costs of Register Implementations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WDAG ![In: Distributed Algorithms, 4th International Workshop, WDAG '90, Bari, Italy, September 24-26, 1990, Proceedings, pp. 402-421, 1990, Springer, 3-540-54099-7. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
40 | Eric Stotzer, Ernst L. Leiss |
Modulo scheduling without overlapped lifetimes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2009 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems, LCTES 2009, Dublin, Ireland, June 19-20, 2009, pp. 1-10, 2009, ACM, 978-1-60558-356-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
instruction level parallelism, register allocation, software pipelining, modulo scheduling |
39 | Andrew W. Appel, Lal George |
Optimal Spilling for CISC Machines with Few Registers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the 2001 ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI), Snowbird, Utah, USA, June 20-22, 2001, pp. 243-253, 2001, ACM, 1-58113-414-2. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
38 | Praveen Raghavan, Andy Lambrechts, Murali Jayapala, Francky Catthoor, Diederik Verkest, Henk Corporaal |
Very wide register: an asymmetric register file organization for low power embedded processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007, pp. 1066-1071, 2007, EDA Consortium, San Jose, CA, USA, 978-3-9810801-2-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
38 | Jaume Abella 0001, Antonio González 0001 |
On Reducing Register Pressure and Energy in Multiple-Banked Register Files. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 21st International Conference on Computer Design (ICCD 2003),VLSI in Computers and Processors, 13-15 October 2003, San Jose, CA, USA, Proceedings, pp. 14-20, 2003, IEEE Computer Society, 0-7695-2025-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
38 | Thomas Zeitlhofer, Bernhard Wess |
Optimum register assignment for heterogeneous register-set architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (3) ![In: Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS 2003, Bangkok, Thailand, May 25-28, 2003, pp. 252-255, 2003, IEEE, 0-7803-7761-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
37 | Praveen Raghavan, Francky Catthoor |
SARA: StreAm register allocation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 7th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2009, Grenoble, France, October 11-16, 2009, pp. 41-50, 2009, ACM, 978-1-60558-628-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
stream register, low power, register allocation, spatial locality |
37 | Manoj Kumar Jain, Lars Wehmeyer, Stefan Steinke, Peter Marwedel, M. Balakrishnan |
Evaluating register file size in ASIP design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES ![In: Proceedings of the Ninth International Symposium on Hardware/Software Codesign, CODES 2001, Copenhagen, Denmark, 2001, pp. 109-114, 2001, ACM, 1-58113-364-2. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
instruction power model, synthesis, application specific instruction set processor, instruction set, register file, register spill |
37 | Jungwook Kim, Seong Tae Jhang, Chu Shik Jhon |
Dynamic register-renaming scheme for reducing power-density and temperature. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2010 ACM Symposium on Applied Computing (SAC), Sierre, Switzerland, March 22-26, 2010, pp. 231-237, 2010, ACM, 978-1-60558-639-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
embedded operating systems for mobile computing, power-density minimization, renaming scheme, register file, thermal management |
37 | Dorit Nuzman, Mircea Namolaru, Ayal Zaks, Jeff H. Derby |
Compiling for an indirect vector register architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the 5th Conference on Computing Frontiers, 2008, Ischia, Italy, May 5-7, 2008, pp. 199-208, 2008, ACM, 978-1-60558-077-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
compiler controlled cache, rotating register file, vectorization, data reuse, subword parallelism, viterbi, simd |
37 | Lisa Higham, LillAnne Jackson, Jalal Kawash |
Capturing Register and Control Dependence in Memory Consistency Models with Applications to the Itanium Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DISC ![In: Distributed Computing, 20th International Symposium, DISC 2006, Stockholm, Sweden, September 18-20, 2006, Proceedings, pp. 164-178, 2006, Springer, 3-540-44624-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Multiprocessor memory consistency, register and control dependency, process coordination, Itanium |
37 | Jessica H. Tseng, Krste Asanovic |
A Speculative Control Scheme for an Energy-Efficient Banked Register Fil. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 54(6), pp. 741-751, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
speculative control, Low-power, superscalar, register file, simultaneous multithreading |
37 | Asadollah Shahbahrami, Ben H. H. Juurlink, Stamatis Vassiliadis |
Matrix register file and extended subwords: two techniques for embedded media processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the Second Conference on Computing Frontiers, 2005, Ischia, Italy, May 4-6, 2005, pp. 171-179, 2005, ACM, 1-59593-019-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
embedded media processors, multimedia kernels, sub-word parallelism, register file |
37 | Sathyanarayanan Thammanur, Santosh Pande |
A fast, memory-efficient register allocation framework for embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 26(6), pp. 938-974, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
embedded systems, compilers, Code generation, compiler optimizations, register allocation, dynamic compilation |
37 | Kavel M. Büyüksahin, Priyadarsan Patra, Farid N. Najm |
ESTIMA: an architectural-level power estimator for multi-ported pipelined register files. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003, Seoul, Korea, August 25-27, 2003, pp. 294-297, 2003, ACM, 1-58113-682-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
power estimation, register files, area estimation |
37 | Ginger Myles, Christian S. Collberg |
Software Watermarking Through Register Allocation: Implementation, Analysis, and Attacks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICISC ![In: Information Security and Cryptology - ICISC 2003, 6th International Conference, Seoul, Korea, November 27-28, 2003, Revised Papers, pp. 274-293, 2003, Springer, 3-540-21376-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Copyright protection, register allocation, Java bytecode, software piracy, software watermarking |
37 | Marta Jiménez, José M. Llabería, Agustín Fernández |
Register tiling in nonrectangular iteration spaces. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 24(4), pp. 409-453, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
register level, locality, Data reuse, loop optimization, loop tiling |
37 | Bart Mesman, Marino T. J. Strik, Adwin H. Timmer, Jef L. van Meerbergen, Jochen A. G. Jess |
A Constraint Driven Approach to Loop Pipelining and Register Binding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 1998 Design, Automation and Test in Europe (DATE '98), February 23-26, 1998, Le Palais des Congrès de Paris, Paris, France, pp. 377-383, 1998, IEEE Computer Society, 0-8186-8359-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
codegeneration, scheduling, DSP, constraint satisfaction, register binding |
37 | C. P. Ravikumar, Gurjeet S. Saund, Nidhi Agrawal |
A STAFAN-like functional testability measure for register-level circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 4th Asian Test Symposium (ATS '95), November 23-24, 1995. Bangalore, India, pp. 192-198, 1995, IEEE Computer Society, 0-8186-7129-7. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
functional testability measure, register-level circuits, testability analysis programs, SCOAP, gate-level digital circuits, testability-driven synthesis, busses, F-STAFAN, Sun/SPARC workstation, performance evaluation, fault diagnosis, logic testing, high-level synthesis, statistical analysis, design for testability, fault simulation, fault coverage, circuit analysis computing, adders, multipliers, multiplexers, digital circuit, shift registers, logic gates, reliability theory, stuck-at fault model |
37 | David J. Kolson, Alexandru Nicolau, Nikil D. Dutt, Ken Kennedy |
Optimal register assignment to loops for embedded code generation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSS ![In: Proceedings of the 8th International Symposium on System Synthesis (ISSS 1995), September 13-15, 1995, Cannes, France, pp. 42-47, 1995, ACM, 0-89791-771-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
data memory access, embedded code generation, heuristic modification, live variables, minimal spill code, optimal register assignment, scientific code, real-time systems, optimisation, storage allocation, loops, program control structures, exponential algorithm |
37 | Preston Briggs, Keith D. Cooper, Linda Torczon |
Improvements to Graph Coloring Register Allocation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 16(3), pp. 428-455, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
code generation, graph coloring, register allocation |
36 | Lakshminarayanan Renganarayanan, Uday Bondhugula, Salem Derisavi, Alexandre E. Eichenberger, Kevin O'Brien |
Compact multi-dimensional kernel extraction for register tiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE Conference on High Performance Computing, SC 2009, November 14-20, 2009, Portland, Oregon, USA, 2009, ACM, 978-1-60558-744-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
36 | Asadollah Shahbahrami, Ben H. H. Juurlink, Stamatis Vassiliadis |
Versatility of extended subwords and the matrix register file. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 5(1), pp. 5:1-5:30, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
SIMD programming, SIMD architectures, multimedia standards |
36 | Vladimír Guzma, Pekka Jääskeläinen, Pertti Kellomäki, Jarmo Takala |
Impact of Software Bypassing on Instruction Level Parallelism and Register File Traffic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAMOS ![In: Embedded Computer Systems: Architectures, Modeling, and Simulation, 8th International Workshop, SAMOS 2008, Samos, Greece, July 21-24, 2008. Proceedings, pp. 23-32, 2008, Springer, 978-3-540-70549-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
36 | Craig A. Burton |
A thin client for networked access to a central register and electronic voting terminal. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICEGOV ![In: Proceedings of the 2nd International Conference on Theory and Practice of Electronic Governance, ICEGOV 2008, Cairo, Egypt, December 1-4, 2008, pp. 281-286, 2008, ACM, 978-1-60558-386-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
multi-channel voting, multi-modal voting, systems pilots, voter registers, voter rolls, electronic voting, elections, internet voting |
36 | Suhyun Kim, Soo-Mook Moon |
Rotating Register Allocation for Enhanced Pipeline Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), Brasov, Romania, September 15-19, 2007, pp. 60-72, 2007, IEEE Computer Society, 0-7695-2944-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
36 | Andrew J. Ricketts, Madhu Mutyam, Narayanan Vijaykrishnan, Mary Jane Irwin |
Investigating Simple Low Latency Reliable Multiported Register Files. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), May 9-11, 2007, Porto Alegre, Brazil, pp. 375-382, 2007, IEEE Computer Society, 0-7695-2896-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
36 | Jesús Alastruey, Teresa Monreal, Víctor Viñals, Mateo Valero |
Microarchitectural Support for Speculative Register Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), Proceedings, 26-30 March 2007, Long Beach, California, USA, pp. 1-10, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
36 | Mazen A. R. Saghir, Rawan Naous |
A Configurable Multi-ported Register File Architecture for Soft Processor Cores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARC ![In: Reconfigurable Computing: Architectures, Tools and Applications, Third International Workshop, ARC 2007, Mangaratiba, Brazil, March 27-29, 2007., pp. 14-25, 2007, Springer, 978-3-540-71430-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
36 | Kingshuk Karuri, Anupam Chattopadhyay, Manuel Hohenauer, Rainer Leupers, Gerd Ascheid, Heinrich Meyr |
Increasing data-bandwidth to instruction-set extensions through register clustering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2007 International Conference on Computer-Aided Design, ICCAD 2007, San Jose, CA, USA, November 5-8, 2007, pp. 166-171, 2007, IEEE Computer Society, 1-4244-1382-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
36 | David Atienza, Praveen Raghavan, José L. Ayala, Giovanni De Micheli, Francky Catthoor, Diederik Verkest, Marisa López-Vallejo |
Compiler-Driven Leakage Energy Reduction in Banked Register Files. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PATMOS ![In: Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 16th International Workshop, PATMOS 2006, Montpellier, France, September 13-15, 2006, Proceedings, pp. 107-116, 2006, Springer, 3-540-39094-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
36 | Oguz Ergin |
Exploiting Narrow Values for Energy Efficiency in the Register Files of Superscalar Microprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PATMOS ![In: Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 16th International Workshop, PATMOS 2006, Montpellier, France, September 13-15, 2006, Proceedings, pp. 477-485, 2006, Springer, 3-540-39094-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
36 | Yuqiang Huang, Bruce R. Childers, Mary Lou Soffa |
Catching and Identifying Bugs in Register Allocation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAS ![In: Static Analysis, 13th International Symposium, SAS 2006, Seoul, Korea, August 29-31, 2006, Proceedings, pp. 281-300, 2006, Springer, 3-540-37756-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
36 | Rajkishore Barik, Christian Grothoff, Rahul Gupta, Vinayaka Pandit, Raghavendra Udupa |
Optimal Bitwise Register Allocation Using Integer Linear Programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, 19th International Workshop, LCPC 2006, New Orleans, LA, USA, November 2-4, 2006. Revised Papers, pp. 267-282, 2006, Springer, 978-3-540-72520-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
36 | Peter Koepke |
Infinite Time Register Machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CiE ![In: Logical Approaches to Computational Barriers, Second Conference on Computability in Europe, CiE 2006, Swansea, UK, June 30-July 5, 2006, Proceedings, pp. 257-266, 2006, Springer, 3-540-35466-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
36 | Ting-Sheng Jau, Wei-Bin Yang, Chung-Yu Chang |
Analysis and Design of High Performance, Low Power Multiple Ports Register Files. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APCCAS ![In: IEEE Asia Pacific Conference on Circuits and Systems 2006, APCCAS 2006, Singapore, 4-7 December 2006, pp. 1453-1456, 2006, IEEE, 1-4244-0387-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
36 | David Koes, Seth Copen Goldstein |
A Progressive Register Allocator for Irregular Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: 3nd IEEE / ACM International Symposium on Code Generation and Optimization (CGO 2005), 20-23 March 2005, San Jose, CA, USA, pp. 269-280, 2005, IEEE Computer Society, 0-7695-2298-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
36 | Yanjun Zhang, Hu He 0001, Yihe Sun |
A new register file access architecture for software pipelining in VLIW processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2005 Conference on Asia South Pacific Design Automation, ASP-DAC 2005, Shanghai, China, January 18-21, 2005, pp. 627-630, 2005, ACM Press, 0-7803-8737-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
36 | Zion S. Kwok, Steven J. E. Wilton |
Register File Architecture Optimization in a Coarse-Grained Reconfigurable Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FCCM ![In: 13th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2005), 17-20 April 2005, Napa, CA, USA, Proceedings, pp. 35-44, 2005, IEEE Computer Society, 0-7695-2445-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
36 | Alban Douillet, Guang R. Gao |
Register Pressure in Software-Pipelined Loop Nests: Fast Computation and Impact on Architecture Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, 18th International Workshop, LCPC 2005, Hawthorne, NY, USA, October 20-22, 2005, Revised Selected Papers, pp. 17-31, 2005, Springer, 978-3-540-69329-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
36 | Lakshminarayanan Renganarayanan, U. Ramakrishna 0001, Sanjay V. Rajopadhye |
Combined ILP and Register Tiling: Analytical Model and Optimization Framework. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, 18th International Workshop, LCPC 2005, Hawthorne, NY, USA, October 20-22, 2005, Revised Selected Papers, pp. 244-258, 2005, Springer, 978-3-540-69329-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
36 | Manoj Kumar Jain, M. Balakrishnan, Anshul Kumar |
An efficient technique for exploring register file size in ASIP design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(12), pp. 1693-1699, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
36 | Jiong Luo, Lin Zhong 0001, Yunsi Fei, Niraj K. Jha |
Register binding-based RTL power management for control-flow intensive designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(8), pp. 1175-1183, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
36 | Jin Lin, Tong Chen 0010, Wei-Chung Hsu, Pen-Chung Yew |
Speculative Register Promotion Using Advanced Load Address Table (ALAT). ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: 1st IEEE / ACM International Symposium on Code Generation and Optimization (CGO 2003), 23-26 March 2003, San Francisco, CA, USA, pp. 125-134, 2003, IEEE Computer Society, 0-7695-1913-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
36 | Neil Johnson 0002, Alan Mycroft |
Combined Code Motion and Register Allocation Using the Value State Dependence Graph. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 12th International Conference, CC 2003, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2003, Warsaw, Poland, April 7-11, 2003, Proceedings, pp. 1-16, 2003, Springer, 3-540-00904-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
36 | Dae-Hwan Kim, Hyuk-Jae Lee |
Fine-Grain Register Allocation Based on a Global Spill Costs Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SCOPES ![In: Software and Compilers for Embedded Systems, 7th International Workshop, SCOPES 2003, Vienna, Austria, September 24-26, 2003, Proceedings, pp. 255-269, 2003, Springer, 3-540-20145-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
36 | Jun-Ho Kwon, Joonho Lim, Soo-Ik Chae |
A three-port nRERL register file for ultra-low-energy applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000, Rapallo, Italy, July 25-27, 2000, pp. 161-166, 2000, ACM, 1-58113-190-9. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
36 | Rajiv V. Joshi, Wei Hwang, S. C. Wilson, Ghavam V. Shahidi, Ching-Te Chuang |
A Low Power 900 MHz Register File (8 Ports, 32 Words x 64 Bits) in 1.8V, 0.25µm SOI Technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 13th International Conference on VLSI Design (VLSI Design 2000), 4-7 January 2000, Calcutta, India, pp. 44-49, 2000, IEEE Computer Society, 0-7695-0487-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
36 | Michael Bekerman, Adi Yoaz, Freddy Gabbay, Stéphan Jourdan, Maxim Kalaev, Ronny Ronen |
Early load address resolution via register tracking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 27th International Symposium on Computer Architecture (ISCA 2000), June 10-14, 2000, Vancouver, BC, Canada, pp. 306-315, 2000, IEEE Computer Society, 978-1-58113-232-8. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
36 | Ramaswamy Govindarajan, Chihong Zhang, Guang R. Gao |
Minimum Register Instruction Scheduling: A New Approach for Dynamic Instruction Issue Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, 12th International Workshop, LCPC'99, La Jolla/San Diego, CA, USA, August 4-6, 1999, Proceedings, pp. 70-84, 1999, Springer, 3-540-67858-1. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
36 | Rajiv V. Joshi, Wei Hwang |
Design Considerations and Implementation of a High Performance Dynamic Register File. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 12th International Conference on VLSI Design (VLSI Design 1999), 10-13 January 1999, Goa, India, pp. 526-531, 1999, IEEE Computer Society, 0-7695-0013-7. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
36 | Naren Narasimhan, Ranga Vemuri |
On the Effectiveness of Theorem Proving Guided Discovery of Formal Assertions for a Register Allocator in a High-Level Synthesis System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TPHOLs ![In: Theorem Proving in Higher Order Logics, 11th International Conference, TPHOLs'98, Canberra, Australia, September 27 - October 1, 1998, Proceedings, pp. 367-386, 1998, Springer, 3-540-64987-5. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
36 | Victor V. Zyuban, Peter M. Kogge |
The energy complexity of register files. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 1998 International Symposium on Low Power Electronics and Design, 1998, Monterey, California, USA, August 10-12, 1998, pp. 305-310, 1998, ACM, 1-58113-059-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
36 | Jan Hoogerbrugge, Henk Corporaal |
Register file port requirements of transport triggered architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 27th Annual International Symposium on Microarchitecture, San Jose, California, USA, November 30 - December 2, 1994, pp. 191-195, 1994, ACM / IEEE Computer Society, 0-89791-707-3. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
36 | Ali-Reza Adl-Tabatabai, Thomas R. Gross |
Evicted Variables and the Interaction of Global Register Allocation and Symbolic Debugging. ![Search on Bibsonomy](Pics/bibsonomy.png) |
POPL ![In: Conference Record of the Twentieth Annual ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, Charleston, South Carolina, USA, January 1993, pp. 371-383, 1993, ACM Press, 0-89791-560-7. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
36 | Priyadarshan Kolte, Mary Jean Harrold |
Load/Store Range Analysis for Global Register Allocation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN'93 Conference on Programming Language Design and Implementation (PLDI), Albuquerque, New Mexico, USA, June 23-25, 1993, pp. 268-277, 1993, ACM, 0-89791-598-4. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
C, FORTRAN |
36 | Laurie J. Hendren, Guang R. Gao, Erik R. Altman, Chandrika Mukerji |
A Register Allocation Framework Based on Hierarchical Cyclic Interval Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 4th International Conference on Compiler Construction, CC'92, Paderborn, Germany, October 5-7, 1992, Proceedings, pp. 176-191, 1992, Springer, 3-540-55984-1. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
36 | William H. Mangione-Smith, Santosh G. Abraham, Edward S. Davidson |
Register requirements of pipelined processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 6th international conference on Supercomputing, ICS 1992, Washington, DC, USA, July 19-24, 1992, pp. 260-271, 1992, ACM, 0-89791-485-6. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
36 | Ben Heggy, Mary Lou Soffa |
Architectural support for register allocation in the presence of aliasing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings Supercomputing '90, New York, NY, USA, November 12-16, 1990, pp. 730-739, 1990, IEEE Computer Society, 0-89791-412-0. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
36 | Fred C. Chow |
Minimizing Register Usage Penalty at Procedure Calls. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN'88 Conference on Programming Language Design and Implementation (PLDI), Atlanta, Georgia, USA, June 22-24, 1988, pp. 85-94, 1988, ACM, 0-89791-269-1. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
36 | James R. Goodman, Wei-Chung Hsu |
Code scheduling and register allocation in large basic blocks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 2nd international conference on Supercomputing, ICS 1988, Saint Malo, France, July 4-8, 1988, pp. 442-452, 1988, ACM, 0-89791-272-1. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
36 | Fred C. Chow, John L. Hennessy |
Register allocation by priority-based coloring. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGPLAN Symposium on Compiler Construction ![In: Proceedings of the 1984 SIGPLAN Symposium on Compiler Construction, Montreal, Canada, June 17-22, 1984, pp. 222-232, 1984, ACM, 0-89791-139-3. The full citation details ...](Pics/full.jpeg) |
1984 |
DBLP DOI BibTeX RDF |
|
36 | Fred C. Chow, John L. Hennessy |
Register allocation by priority-based coloring (with retrospective) ![Search on Bibsonomy](Pics/bibsonomy.png) |
Best of PLDI ![In: 20 Years of the ACM SIGPLAN Conference on Programming Language Design and Implementation 1979-1999, A Selection, pp. 91-103, 1984, ACM, 1-58113-623-4. The full citation details ...](Pics/full.jpeg) |
1984 |
DBLP DOI BibTeX RDF |
|
36 | Max Hailperin |
Comparing conservative coalescing criteria. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 27(3), pp. 571-582, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Copy propagation, graph coloring, register allocation, register coalescing |
35 | Yim Register, Lucy Qin, Amanda Baughan, Emma S. Spiro |
Attached to "The Algorithm": Making Sense of Algorithmic Precarity on Instagram. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI ![In: Proceedings of the 2023 CHI Conference on Human Factors in Computing Systems, CHI 2023, Hamburg, Germany, April 23-28, 2023, pp. 563:1-563:15, 2023, ACM, 978-1-4503-9421-5. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
35 | Yim Register, Joseph William Tan Garcia, Nayan Kaushal, Dev Wilder, Xiaobing Xu |
AI education matters: Guiding our Future AI Leaders with Joy and Justice. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AI Matters ![In: AI Matters 8(2), pp. 22-24, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
35 | Todd W. Neller, Jazmin Collins, Daniel Schneider, Yim Register, Christopher Brooks, Chia-Wei Tang, Chao-Lin Liu, Roozbeh Aliabadi, Annabel Hasty, Sultan Albarakati, Haotian Fang, Harvey Yin, Joel Wilson |
Model AI Assignments 2022. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AAAI ![In: Thirty-Sixth AAAI Conference on Artificial Intelligence, AAAI 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, IAAI 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, EAAI 2022 Virtual Event, February 22 - March 1, 2022, pp. 12863-12864, 2022, AAAI Press, 978-1-57735-876-3. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
35 | Yim Register, Emma S. Spiro |
Developing Self-Advocacy Skills through Machine Learning Education: The Case of Ad Recommendation on Facebook. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICWSM ![In: Proceedings of the Sixteenth International AAAI Conference on Web and Social Media, ICWSM 2022, Atlanta, Georgia, USA, June 6-9, 2022, pp. 817-828, 2022, AAAI Press, 978-1-57735-875-6. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP BibTeX RDF |
|
35 | Urmimala Roy, Tanmoy Pramanik, Subhendu Roy, Avhishek Chatterjee, Leonard F. Register, Sanjay K. Banerjee |
Machine Learning for Statistical Modeling: The Case of Perpendicular Spin-Transfer-Torque Random Access Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 26(3), pp. 24:1-24:17, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
35 | Amy J. Ko, Alannah Oleson, Neil Ryan, Yim Register, Benjamin Xie, Mina Tari, Matthew J. Davidson, Stefania Druga, Dastyni Loksa |
It is time for more critical CS education. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Commun. ACM ![In: Commun. ACM 63(11), pp. 31-33, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
35 | Yim Register, Amy J. Ko |
Learning Machine Learning with Personal Data Helps Stakeholders Ground Advocacy Arguments in Model Mechanics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICER ![In: ICER 2020: International Computing Education Research Conference, Virtual Event, New Zealand, August 10-12, 2020, pp. 67-78, 2020, ACM, 978-1-4503-7092-9. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
35 | Drake Johnson, Keith Register, Brian D. Davison 0001, Jeff Heflin |
An Exploratory Interface for Dataset Repositories Using Cell-Centric Indexing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE BigData ![In: 2020 IEEE International Conference on Big Data (IEEE BigData 2020), Atlanta, GA, USA, December 10-13, 2020, pp. 5716-5718, 2020, IEEE, 978-1-7281-6251-5. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
35 | Omar B. Mohammed, Leonard F. Register, Sanjay K. Banerjee |
Tunnel Barrier Thickness, Interlayer Rotational Alignment, and Top Gating Effects on ReS2/hBN/ReS2 Resonant Interlayer Tunnel Field Effect Transistors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DRC ![In: Device Research Conference, DRC 2019, Ann Arbor, MI, USA, June 23-26, 2019, pp. 129-130, 2019, IEEE, 978-1-7281-2111-6. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
35 | Yang Liu, Hsiangkuo Yuan, Farrell R. Kersey, Janna K. Register, Matthew C. Parrott, Tuan Vo-Dinh |
Plasmonic Gold Nanostars for Multi-Modality Sensing and Diagnostics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sensors ![In: Sensors 15(2), pp. 3706-3720, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
35 | Sanjay K. Banerjee, Leonard Franklin Register, Emanuel Tutuc, Dipanjan Basu, Seyoung Kim, Dharmendar Reddy, Allan H. MacDonald |
Graphene for CMOS and Beyond CMOS Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Proc. IEEE ![In: Proc. IEEE 98(12), pp. 2032-2046, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
35 | Allen Sayegh, Peter Mabardi, David Register, Daniel Spann, Jonathan Lu, Amanda Parkes, S. Adrian Massey III |
Home, work, (play). ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI Extended Abstracts ![In: Proceedings of the 27th International Conference on Human Factors in Computing Systems, CHI 2009, Extended Abstracts Volume, Boston, MA, USA, April 4-9, 2009, pp. 3513-3514, 2009, ACM, 978-1-60558-247-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
hyper-reality, integration, gesture, spatial, augmented |
35 | Mahendra Mallick, Barry L. Drake, Haesun Park, Andy Register, William Dale Blair, Phil West, Ryan D. Palkki, Aaron D. Lanterman, Darren Emge |
Comparison of Raman spectra estimation algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FUSION ![In: 12th International Conference on Information Fusion, FUSION '09, Seattle, Washington, USA, July 6-9, 2009, pp. 2239-2246, 2009, IEEE, 978-0-9824438-0-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP BibTeX RDF |
|
35 | Mike Register, Tod Golding |
Using Agile for Buy Vs. Build Decisions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AGILE ![In: Agile Development Conference, AGILE 2008, Toronto, Canada, 4-8 August 2008, pp. 259-264, 2008, IEEE Computer Society, 978-0-7695-3321-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
35 | Amr Haggag, William McMahon, Karl Hess, Björn Fischer, Leonard F. Register |
Impact of Scaling on CMOS Chip Failure Rate, and Design Rules for Hot Carrier Reliability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: VLSI Design 13(1-4), pp. 111-115, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
35 | Leonard F. Register |
Simulation of Optical Excitation to and Emission from Electron Fabry-Perot States Subject to Strong Inelastic Scattering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: VLSI Design 6(1-4), pp. 351-353, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
35 | Benjamin Klein, Leonard F. Register, Karl Hess, Dennis Deppe |
Theory and Modeling of Lasing Modes in Vertical Cavity Surface Emitting Lasers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: VLSI Design 8(1-4), pp. 87-91, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
35 | Andrew H. Register, Wayne J. Book, Cecil O. Alford |
Artificial neural network control of a nonminimum phase, single-flexible-link. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: Proceedings of the 1996 IEEE International Conference on Robotics and Automation, Minneapolis, Minnesota, USA, April 22-28, 1996, pp. 1935-1940, 1996, IEEE, 0-7803-2988-0. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
35 | Michael S. Register, Narasimham Kannan |
A Hybrid Architecture for Text Classification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICTAI ![In: Fourth International Conference on Tools with Artificial Intelligence, ICTAI '92, Arlington, Virginia, USA, November 10-13, 1992, pp. 286-292, 1992, IEEE Computer Society, 0-8186-2905-3. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
35 | Michael S. Register, Anil Rewari |
CANASTA: The Crash Analysis Troubleshooting Assistant. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IAAI ![In: Proceedings of the The Third Conference on Innovative Applications of Artificial Intelligence (IAAI-91), Anaheim, CA, USA, July 14-19, 1991, pp. 195-212, 1991, AAAI, 0-262-69148-5. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP BibTeX RDF |
|
35 | Geoff Stevens, Alan Stretton, Michael S. Register, Steven M. Medoff, Mark W. Swartwout, Magnolia Fung |
PREDICTE - An Intelligent System for Indicative Construction Time Estimation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IAAI ![In: Proceedings of the The Second Conference on Innovative Applications of Artificial Intelligence (IAAI-90), Washington, DC, USA, May 1-3, 1990, pp. 81-98, 1990, AAAI, 0-262-68068-8. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP BibTeX RDF |
|
35 | Steven M. Medoff, Michael S. Register, Mark W. Swartwout |
A framework for design verification and evaluation systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Artif. Intell. Eng. Des. Anal. Manuf. ![In: Artif. Intell. Eng. Des. Anal. Manuf. 3(2), pp. 71-84, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
35 | Javier Zalamea, Josep Llosa, Eduard Ayguadé, Mateo Valero |
Improved spill code generation for software pipelined loops. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the 2000 ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI), Vancouver, Britith Columbia, Canada, June 18-21, 2000, pp. 134-144, 2000, ACM, 1-58113-199-2. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
instruction-level parallelism, register allocation, software pipelining, spill code |
35 | Roger Espasa, Mateo Valero, James E. Smith 0001 |
Out-of-Order Vector Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the Thirtieth Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 30, Research Triangle Park, North Carolina, USA, December 1-3, 1997, pp. 160-170, 1997, ACM/IEEE Computer Society, 0-8186-7977-8. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
memory traffic elimination, microarchitecture, out-of-order execution, memory latency, register renaming, vector architecture, precise interrupts |
34 | Je-Hyung Lee, Soo-Mook Moon, Hyung-Kyu Choi |
Comparison of Bank Change Mechanisms for Banked Reduced Encoding Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSE (2) ![In: Proceedings of the 12th IEEE International Conference on Computational Science and Engineering, CSE 2009, Vancouver, BC, Canada, August 29-31, 2009, pp. 334-341, 2009, IEEE Computer Society, 978-1-4244-5334-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
34 | James Aspnes, Hagit Attiya, Keren Censor |
Max registers, counters, and monotone circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODC ![In: Proceedings of the 28th Annual ACM Symposium on Principles of Distributed Computing, PODC 2009, Calgary, Alberta, Canada, August 10-12, 2009, pp. 36-45, 2009, ACM, 978-1-60558-396-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
max registers, distributed computing, shared memory, counters, monotone circuits |
34 | David W. Oehmke, Nathan L. Binkert, Trevor N. Mudge, Steven K. Reinhardt |
How to Fake 1000 Registers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-38 2005), 12-16 November 2005, Barcelona, Spain, pp. 7-18, 2005, IEEE Computer Society, 0-7695-2440-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
34 | Subhasish Mitra, Edward J. McCluskey |
Design of Redundant Systems Protected Against Common-Mode Failures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 19th IEEE VLSI Test Symposium (VTS 2001), Test and Diagnosis in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA, USA, pp. 190-197, 2001, IEEE Computer Society, 0-7695-1122-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
34 | Hans M. Mulder |
Data Buffering: Run-Time Versus Compile-Time Support. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-III Proceedings - Third International Conference on Architectural Support for Programming Languages and Operating Systems, Boston, Massachusetts, USA, April 3-6, 1989., pp. 144-151, 1989, ACM Press, 0-89791-300-0. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
34 | Shuxin Zhou, Huandong Wang, Dong Tong 0001 |
Prediction of Register Instance Usage and Time-sharing Register for Extended Register Reuse Scheme. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, Tokyo, Japan, January 18-21, 2021, pp. 216-221, 2021, ACM, 978-1-4503-7999-1. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
Displaying result #201 - #300 of 5677 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ >>] |
|