The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for register with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1954-1962 (16) 1963-1968 (19) 1969-1972 (17) 1973-1974 (19) 1975-1976 (22) 1977-1978 (30) 1979-1980 (24) 1981-1982 (24) 1983-1984 (34) 1985 (21) 1986 (28) 1987 (36) 1988 (44) 1989 (58) 1990 (81) 1991 (58) 1992 (73) 1993 (60) 1994 (78) 1995 (108) 1996 (114) 1997 (133) 1998 (144) 1999 (149) 2000 (181) 2001 (202) 2002 (220) 2003 (287) 2004 (324) 2005 (353) 2006 (361) 2007 (411) 2008 (339) 2009 (240) 2010 (99) 2011 (120) 2012 (97) 2013 (80) 2014 (84) 2015 (101) 2016 (109) 2017 (96) 2018 (87) 2019 (99) 2020 (93) 2021 (108) 2022 (108) 2023 (76) 2024 (12)
Publication types (Num. hits)
article(1717) book(2) incollection(18) inproceedings(3892) phdthesis(48)
Venues (Conferences, Journals, ...)
IEEE Trans. Comput. Aided Des....(167) IEEE Trans. Computers(128) DAC(116) CoRR(112) MICRO(112) IEEE Trans. Very Large Scale I...(96) DATE(90) ISCA(67) J. Electron. Test.(67) PLDI(66) VLSI Design(63) ISCAS(60) ICCAD(55) CC(52) ICCD(50) ASP-DAC(49) More (+10 of total 1318)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4401 occurrences of 2030 keywords

Results
Found 5686 publication records. Showing 5677 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
41Alban Douillet, José Nelson Amaral, Guang R. Gao Fine-Grain Stacked Register Allocation for the Itanium Architecture. Search on Bibsonomy LCPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
41Deepankar Bairagi, Santosh Pande, Dharma P. Agrawal A Framework for Enhancing Code Quality in Limited Register Set Embedded Processors. Search on Bibsonomy LCTES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
41Jason Hiser, Steve Carr 0001, Philip H. Sweany Global Register Partitioning. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
41Guei-Yuan Lueh, Thomas R. Gross Call-Cost Directed Register Allocation. Search on Bibsonomy PLDI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
41David J. Kolson, Alexandru Nicolau, Nikil D. Dutt, Ken Kennedy Optimal register assignment to loops for embedded code generation. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF embedded systems, code generation, system design
41Cindy Norris, Lori L. Pollock register Allocation over the Program Dependence Graph. Search on Bibsonomy PLDI The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
41Soma Chaudhuri, Jennifer L. Welch Bounds on the Costs of Register Implementations. Search on Bibsonomy WDAG The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
40Eric Stotzer, Ernst L. Leiss Modulo scheduling without overlapped lifetimes. Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF instruction level parallelism, register allocation, software pipelining, modulo scheduling
39Andrew W. Appel, Lal George Optimal Spilling for CISC Machines with Few Registers. Search on Bibsonomy PLDI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
38Praveen Raghavan, Andy Lambrechts, Murali Jayapala, Francky Catthoor, Diederik Verkest, Henk Corporaal Very wide register: an asymmetric register file organization for low power embedded processors. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Jaume Abella 0001, Antonio González 0001 On Reducing Register Pressure and Energy in Multiple-Banked Register Files. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
38Thomas Zeitlhofer, Bernhard Wess Optimum register assignment for heterogeneous register-set architectures. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Praveen Raghavan, Francky Catthoor SARA: StreAm register allocation. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF stream register, low power, register allocation, spatial locality
37Manoj Kumar Jain, Lars Wehmeyer, Stefan Steinke, Peter Marwedel, M. Balakrishnan Evaluating register file size in ASIP design. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF instruction power model, synthesis, application specific instruction set processor, instruction set, register file, register spill
37Jungwook Kim, Seong Tae Jhang, Chu Shik Jhon Dynamic register-renaming scheme for reducing power-density and temperature. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF embedded operating systems for mobile computing, power-density minimization, renaming scheme, register file, thermal management
37Dorit Nuzman, Mircea Namolaru, Ayal Zaks, Jeff H. Derby Compiling for an indirect vector register architecture. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF compiler controlled cache, rotating register file, vectorization, data reuse, subword parallelism, viterbi, simd
37Lisa Higham, LillAnne Jackson, Jalal Kawash Capturing Register and Control Dependence in Memory Consistency Models with Applications to the Itanium Architecture. Search on Bibsonomy DISC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Multiprocessor memory consistency, register and control dependency, process coordination, Itanium
37Jessica H. Tseng, Krste Asanovic A Speculative Control Scheme for an Energy-Efficient Banked Register Fil. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF speculative control, Low-power, superscalar, register file, simultaneous multithreading
37Asadollah Shahbahrami, Ben H. H. Juurlink, Stamatis Vassiliadis Matrix register file and extended subwords: two techniques for embedded media processors. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF embedded media processors, multimedia kernels, sub-word parallelism, register file
37Sathyanarayanan Thammanur, Santosh Pande A fast, memory-efficient register allocation framework for embedded systems. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF embedded systems, compilers, Code generation, compiler optimizations, register allocation, dynamic compilation
37Kavel M. Büyüksahin, Priyadarsan Patra, Farid N. Najm ESTIMA: an architectural-level power estimator for multi-ported pipelined register files. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF power estimation, register files, area estimation
37Ginger Myles, Christian S. Collberg Software Watermarking Through Register Allocation: Implementation, Analysis, and Attacks. Search on Bibsonomy ICISC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Copyright protection, register allocation, Java bytecode, software piracy, software watermarking
37Marta Jiménez, José M. Llabería, Agustín Fernández Register tiling in nonrectangular iteration spaces. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF register level, locality, Data reuse, loop optimization, loop tiling
37Bart Mesman, Marino T. J. Strik, Adwin H. Timmer, Jef L. van Meerbergen, Jochen A. G. Jess A Constraint Driven Approach to Loop Pipelining and Register Binding. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF codegeneration, scheduling, DSP, constraint satisfaction, register binding
37C. P. Ravikumar, Gurjeet S. Saund, Nidhi Agrawal A STAFAN-like functional testability measure for register-level circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF functional testability measure, register-level circuits, testability analysis programs, SCOAP, gate-level digital circuits, testability-driven synthesis, busses, F-STAFAN, Sun/SPARC workstation, performance evaluation, fault diagnosis, logic testing, high-level synthesis, statistical analysis, design for testability, fault simulation, fault coverage, circuit analysis computing, adders, multipliers, multiplexers, digital circuit, shift registers, logic gates, reliability theory, stuck-at fault model
37David J. Kolson, Alexandru Nicolau, Nikil D. Dutt, Ken Kennedy Optimal register assignment to loops for embedded code generation. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF data memory access, embedded code generation, heuristic modification, live variables, minimal spill code, optimal register assignment, scientific code, real-time systems, optimisation, storage allocation, loops, program control structures, exponential algorithm
37Preston Briggs, Keith D. Cooper, Linda Torczon Improvements to Graph Coloring Register Allocation. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF code generation, graph coloring, register allocation
36Lakshminarayanan Renganarayanan, Uday Bondhugula, Salem Derisavi, Alexandre E. Eichenberger, Kevin O'Brien Compact multi-dimensional kernel extraction for register tiling. Search on Bibsonomy SC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
36Asadollah Shahbahrami, Ben H. H. Juurlink, Stamatis Vassiliadis Versatility of extended subwords and the matrix register file. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SIMD programming, SIMD architectures, multimedia standards
36Vladimír Guzma, Pekka Jääskeläinen, Pertti Kellomäki, Jarmo Takala Impact of Software Bypassing on Instruction Level Parallelism and Register File Traffic. Search on Bibsonomy SAMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Craig A. Burton A thin client for networked access to a central register and electronic voting terminal. Search on Bibsonomy ICEGOV The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-channel voting, multi-modal voting, systems pilots, voter registers, voter rolls, electronic voting, elections, internet voting
36Suhyun Kim, Soo-Mook Moon Rotating Register Allocation for Enhanced Pipeline Scheduling. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Andrew J. Ricketts, Madhu Mutyam, Narayanan Vijaykrishnan, Mary Jane Irwin Investigating Simple Low Latency Reliable Multiported Register Files. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Jesús Alastruey, Teresa Monreal, Víctor Viñals, Mateo Valero Microarchitectural Support for Speculative Register Renaming. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Mazen A. R. Saghir, Rawan Naous A Configurable Multi-ported Register File Architecture for Soft Processor Cores. Search on Bibsonomy ARC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Kingshuk Karuri, Anupam Chattopadhyay, Manuel Hohenauer, Rainer Leupers, Gerd Ascheid, Heinrich Meyr Increasing data-bandwidth to instruction-set extensions through register clustering. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36David Atienza, Praveen Raghavan, José L. Ayala, Giovanni De Micheli, Francky Catthoor, Diederik Verkest, Marisa López-Vallejo Compiler-Driven Leakage Energy Reduction in Banked Register Files. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Oguz Ergin Exploiting Narrow Values for Energy Efficiency in the Register Files of Superscalar Microprocessors. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Yuqiang Huang, Bruce R. Childers, Mary Lou Soffa Catching and Identifying Bugs in Register Allocation. Search on Bibsonomy SAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Rajkishore Barik, Christian Grothoff, Rahul Gupta, Vinayaka Pandit, Raghavendra Udupa Optimal Bitwise Register Allocation Using Integer Linear Programming. Search on Bibsonomy LCPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Peter Koepke Infinite Time Register Machines. Search on Bibsonomy CiE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Ting-Sheng Jau, Wei-Bin Yang, Chung-Yu Chang Analysis and Design of High Performance, Low Power Multiple Ports Register Files. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36David Koes, Seth Copen Goldstein A Progressive Register Allocator for Irregular Architectures. Search on Bibsonomy CGO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Yanjun Zhang, Hu He 0001, Yihe Sun A new register file access architecture for software pipelining in VLIW processors. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Zion S. Kwok, Steven J. E. Wilton Register File Architecture Optimization in a Coarse-Grained Reconfigurable Architecture. Search on Bibsonomy FCCM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Alban Douillet, Guang R. Gao Register Pressure in Software-Pipelined Loop Nests: Fast Computation and Impact on Architecture Design. Search on Bibsonomy LCPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Lakshminarayanan Renganarayanan, U. Ramakrishna 0001, Sanjay V. Rajopadhye Combined ILP and Register Tiling: Analytical Model and Optimization Framework. Search on Bibsonomy LCPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Manoj Kumar Jain, M. Balakrishnan, Anshul Kumar An efficient technique for exploring register file size in ASIP design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
36Jiong Luo, Lin Zhong 0001, Yunsi Fei, Niraj K. Jha Register binding-based RTL power management for control-flow intensive designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
36Jin Lin, Tong Chen 0010, Wei-Chung Hsu, Pen-Chung Yew Speculative Register Promotion Using Advanced Load Address Table (ALAT). Search on Bibsonomy CGO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Neil Johnson 0002, Alan Mycroft Combined Code Motion and Register Allocation Using the Value State Dependence Graph. Search on Bibsonomy CC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Dae-Hwan Kim, Hyuk-Jae Lee Fine-Grain Register Allocation Based on a Global Spill Costs Analysis. Search on Bibsonomy SCOPES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Jun-Ho Kwon, Joonho Lim, Soo-Ik Chae A three-port nRERL register file for ultra-low-energy applications. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
36Rajiv V. Joshi, Wei Hwang, S. C. Wilson, Ghavam V. Shahidi, Ching-Te Chuang A Low Power 900 MHz Register File (8 Ports, 32 Words x 64 Bits) in 1.8V, 0.25µm SOI Technology. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
36Michael Bekerman, Adi Yoaz, Freddy Gabbay, Stéphan Jourdan, Maxim Kalaev, Ronny Ronen Early load address resolution via register tracking. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
36Ramaswamy Govindarajan, Chihong Zhang, Guang R. Gao Minimum Register Instruction Scheduling: A New Approach for Dynamic Instruction Issue Processors. Search on Bibsonomy LCPC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
36Rajiv V. Joshi, Wei Hwang Design Considerations and Implementation of a High Performance Dynamic Register File. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
36Naren Narasimhan, Ranga Vemuri On the Effectiveness of Theorem Proving Guided Discovery of Formal Assertions for a Register Allocator in a High-Level Synthesis System. Search on Bibsonomy TPHOLs The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
36Victor V. Zyuban, Peter M. Kogge The energy complexity of register files. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
36Jan Hoogerbrugge, Henk Corporaal Register file port requirements of transport triggered architectures. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
36Ali-Reza Adl-Tabatabai, Thomas R. Gross Evicted Variables and the Interaction of Global Register Allocation and Symbolic Debugging. Search on Bibsonomy POPL The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
36Priyadarshan Kolte, Mary Jean Harrold Load/Store Range Analysis for Global Register Allocation. Search on Bibsonomy PLDI The full citation details ... 1993 DBLP  DOI  BibTeX  RDF C, FORTRAN
36Laurie J. Hendren, Guang R. Gao, Erik R. Altman, Chandrika Mukerji A Register Allocation Framework Based on Hierarchical Cyclic Interval Graphs. Search on Bibsonomy CC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
36William H. Mangione-Smith, Santosh G. Abraham, Edward S. Davidson Register requirements of pipelined processors. Search on Bibsonomy ICS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
36Ben Heggy, Mary Lou Soffa Architectural support for register allocation in the presence of aliasing. Search on Bibsonomy SC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
36Fred C. Chow Minimizing Register Usage Penalty at Procedure Calls. Search on Bibsonomy PLDI The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
36James R. Goodman, Wei-Chung Hsu Code scheduling and register allocation in large basic blocks. Search on Bibsonomy ICS The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
36Fred C. Chow, John L. Hennessy Register allocation by priority-based coloring. Search on Bibsonomy SIGPLAN Symposium on Compiler Construction The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
36Fred C. Chow, John L. Hennessy Register allocation by priority-based coloring (with retrospective) Search on Bibsonomy Best of PLDI The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
36Max Hailperin Comparing conservative coalescing criteria. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Copy propagation, graph coloring, register allocation, register coalescing
35Yim Register, Lucy Qin, Amanda Baughan, Emma S. Spiro Attached to "The Algorithm": Making Sense of Algorithmic Precarity on Instagram. Search on Bibsonomy CHI The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Yim Register, Joseph William Tan Garcia, Nayan Kaushal, Dev Wilder, Xiaobing Xu AI education matters: Guiding our Future AI Leaders with Joy and Justice. Search on Bibsonomy AI Matters The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
35Todd W. Neller, Jazmin Collins, Daniel Schneider, Yim Register, Christopher Brooks, Chia-Wei Tang, Chao-Lin Liu, Roozbeh Aliabadi, Annabel Hasty, Sultan Albarakati, Haotian Fang, Harvey Yin, Joel Wilson Model AI Assignments 2022. Search on Bibsonomy AAAI The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
35Yim Register, Emma S. Spiro Developing Self-Advocacy Skills through Machine Learning Education: The Case of Ad Recommendation on Facebook. Search on Bibsonomy ICWSM The full citation details ... 2022 DBLP  BibTeX  RDF
35Urmimala Roy, Tanmoy Pramanik, Subhendu Roy, Avhishek Chatterjee, Leonard F. Register, Sanjay K. Banerjee Machine Learning for Statistical Modeling: The Case of Perpendicular Spin-Transfer-Torque Random Access Memory. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
35Amy J. Ko, Alannah Oleson, Neil Ryan, Yim Register, Benjamin Xie, Mina Tari, Matthew J. Davidson, Stefania Druga, Dastyni Loksa It is time for more critical CS education. Search on Bibsonomy Commun. ACM The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
35Yim Register, Amy J. Ko Learning Machine Learning with Personal Data Helps Stakeholders Ground Advocacy Arguments in Model Mechanics. Search on Bibsonomy ICER The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
35Drake Johnson, Keith Register, Brian D. Davison 0001, Jeff Heflin An Exploratory Interface for Dataset Repositories Using Cell-Centric Indexing. Search on Bibsonomy IEEE BigData The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
35Omar B. Mohammed, Leonard F. Register, Sanjay K. Banerjee Tunnel Barrier Thickness, Interlayer Rotational Alignment, and Top Gating Effects on ReS2/hBN/ReS2 Resonant Interlayer Tunnel Field Effect Transistors. Search on Bibsonomy DRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
35Yang Liu, Hsiangkuo Yuan, Farrell R. Kersey, Janna K. Register, Matthew C. Parrott, Tuan Vo-Dinh Plasmonic Gold Nanostars for Multi-Modality Sensing and Diagnostics. Search on Bibsonomy Sensors The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
35Sanjay K. Banerjee, Leonard Franklin Register, Emanuel Tutuc, Dipanjan Basu, Seyoung Kim, Dharmendar Reddy, Allan H. MacDonald Graphene for CMOS and Beyond CMOS Applications. Search on Bibsonomy Proc. IEEE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
35Allen Sayegh, Peter Mabardi, David Register, Daniel Spann, Jonathan Lu, Amanda Parkes, S. Adrian Massey III Home, work, (play). Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2009 DBLP  DOI  BibTeX  RDF hyper-reality, integration, gesture, spatial, augmented
35Mahendra Mallick, Barry L. Drake, Haesun Park, Andy Register, William Dale Blair, Phil West, Ryan D. Palkki, Aaron D. Lanterman, Darren Emge Comparison of Raman spectra estimation algorithms. Search on Bibsonomy FUSION The full citation details ... 2009 DBLP  BibTeX  RDF
35Mike Register, Tod Golding Using Agile for Buy Vs. Build Decisions. Search on Bibsonomy AGILE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Amr Haggag, William McMahon, Karl Hess, Björn Fischer, Leonard F. Register Impact of Scaling on CMOS Chip Failure Rate, and Design Rules for Hot Carrier Reliability. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
35Leonard F. Register Simulation of Optical Excitation to and Emission from Electron Fabry-Perot States Subject to Strong Inelastic Scattering. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
35Benjamin Klein, Leonard F. Register, Karl Hess, Dennis Deppe Theory and Modeling of Lasing Modes in Vertical Cavity Surface Emitting Lasers. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
35Andrew H. Register, Wayne J. Book, Cecil O. Alford Artificial neural network control of a nonminimum phase, single-flexible-link. Search on Bibsonomy ICRA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
35Michael S. Register, Narasimham Kannan A Hybrid Architecture for Text Classification. Search on Bibsonomy ICTAI The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
35Michael S. Register, Anil Rewari CANASTA: The Crash Analysis Troubleshooting Assistant. Search on Bibsonomy IAAI The full citation details ... 1991 DBLP  BibTeX  RDF
35Geoff Stevens, Alan Stretton, Michael S. Register, Steven M. Medoff, Mark W. Swartwout, Magnolia Fung PREDICTE - An Intelligent System for Indicative Construction Time Estimation. Search on Bibsonomy IAAI The full citation details ... 1990 DBLP  BibTeX  RDF
35Steven M. Medoff, Michael S. Register, Mark W. Swartwout A framework for design verification and evaluation systems. Search on Bibsonomy Artif. Intell. Eng. Des. Anal. Manuf. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
35Javier Zalamea, Josep Llosa, Eduard Ayguadé, Mateo Valero Improved spill code generation for software pipelined loops. Search on Bibsonomy PLDI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF instruction-level parallelism, register allocation, software pipelining, spill code
35Roger Espasa, Mateo Valero, James E. Smith 0001 Out-of-Order Vector Architectures. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF memory traffic elimination, microarchitecture, out-of-order execution, memory latency, register renaming, vector architecture, precise interrupts
34Je-Hyung Lee, Soo-Mook Moon, Hyung-Kyu Choi Comparison of Bank Change Mechanisms for Banked Reduced Encoding Architectures. Search on Bibsonomy CSE (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
34James Aspnes, Hagit Attiya, Keren Censor Max registers, counters, and monotone circuits. Search on Bibsonomy PODC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF max registers, distributed computing, shared memory, counters, monotone circuits
34David W. Oehmke, Nathan L. Binkert, Trevor N. Mudge, Steven K. Reinhardt How to Fake 1000 Registers. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Subhasish Mitra, Edward J. McCluskey Design of Redundant Systems Protected Against Common-Mode Failures. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
34Hans M. Mulder Data Buffering: Run-Time Versus Compile-Time Support. Search on Bibsonomy ASPLOS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
34Shuxin Zhou, Huandong Wang, Dong Tong 0001 Prediction of Register Instance Usage and Time-sharing Register for Extended Register Reuse Scheme. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 5677 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license