The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for sizing with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1980 (15) 1981-1985 (22) 1986-1988 (22) 1989-1990 (30) 1991 (16) 1992 (16) 1993 (26) 1994 (24) 1995 (33) 1996 (38) 1997 (53) 1998 (54) 1999 (49) 2000 (66) 2001 (80) 2002 (83) 2003 (99) 2004 (138) 2005 (159) 2006 (180) 2007 (179) 2008 (222) 2009 (137) 2010 (124) 2011 (131) 2012 (109) 2013 (117) 2014 (116) 2015 (137) 2016 (129) 2017 (151) 2018 (162) 2019 (192) 2020 (183) 2021 (188) 2022 (178) 2023 (166) 2024 (43)
Publication types (Num. hits)
article(1906) book(3) data(1) incollection(16) inproceedings(1901) phdthesis(39) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1250 occurrences of 693 keywords

Results
Found 3867 publication records. Showing 3867 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
26Feng Chu 0001, Chengbin Chu Single-Item Dynamic Lot-Sizing Models With Bounded Inventory and Outsourcing. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part A The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Sarvesh Bhardwaj, Sarma B. K. Vrudhula Leakage Minimization of Digital Circuits Using Gate Sizing in the Presence of Process Variations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Ehsan Pakbaznia, Massoud Pedram Coarse-Grain MTCMOS Sleep Transistor Sizing Using Delay Budgeting. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Yueping Zhang, Dmitri Loguinov ABS: Adaptive Buffer Sizing for Heterogeneous Networks. Search on Bibsonomy IWQoS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Rupak Samanta, Jiang Hu, Peng Li 0001 Discrete buffer and wire sizing for link-based non-tree clock networks. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF non-tree, buffer, clock, wire, svm
26Trent McConaghy, Pieter Palmers, Georges G. E. Gielen, Michiel Steyaert Automated extraction of expert knowledge in analog topology selection and sizing. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Tai-Hsuan Wu, Azadeh Davoodi PaRS: fast and near-optimal grid-based cell sizing for library-based design. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Angelo Campoccia, Eleonora Riva Sanseverino, Gaetano Zizzo Optimal Sizing and Siting of Distributed Energy Resources Considering Public and Private Incentive Policies. Search on Bibsonomy IEA/AIE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Distributed Generation, PV Systems, Genetic Algorithms, Multi-objective Optimization
26Ke Cao, Jiang Hu, Mosong Cheng Wire Sizing and Spacing for Lithographic Printability and Timing Optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Lei He 0001, Andrew B. Kahng, King Ho Tam, Jinjun Xiong Simultaneous Buffer Insertion and Wire Sizing Considering Systematic CMP Variation and Random Leff Variation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Narender Hanchate, Nagarajan Ranganathan Integrated Gate and Wire Sizing at Post Layout Level. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Narender Hanchate, Nagarajan Ranganathan Statistical Gate Sizing for Yield Enhancement at Post Layout Level. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Min Ni, Seda Ogrenci Memik Self-heating-aware optimal wire sizing under Elmore delay model. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Jerzy Duda, Andrzej Osyczka A genetic algorithm for lot sizing optimization with a capacity loading criterion. Search on Bibsonomy IEEE Congress on Evolutionary Computation The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Ravi S. Prasad, Constantine Dovrolis, Marina Thottan Router buffer sizing revisited: the role of the output/input capacity ratio. Search on Bibsonomy CoNEXT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Xiangning Yang, Kewal K. Saluja Combating NBTI Degradation via Gate Sizing. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Kumar Yelamarthi, Chien-In Henry Chen Transistor Sizing for Load Balance of Multiple Paths in Dynamic CMOS for Timing Optimization. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Lars Fischer, Sascha Herpers, Michael Manitz Service-Level Oriented Lot Sizing Under Stochastic Demand. Search on Bibsonomy OR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Shiyan Hu, Mahesh Ketkar, Jiang Hu Gate Sizing For Cell Library-Based Designs. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Debjit Sinha, Narendra V. Shenoy, Hai Zhou 0001 Statistical Timing Yield Optimization by Gate Sizing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Quming Zhou, Kartik Mohanram Gate sizing to radiation harden combinational logic. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Sebastian Vogel, Martin D. F. Wong Closed form solution for optimal buffer sizing using the Weierstrass elliptic function. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Daming Xu, Longyun Kang, Binggang Cao Graph-Based Ant System for Optimal Sizing of Standalone Hybrid Wind/PV Power Systems. Search on Bibsonomy ICIC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Konstantin Moiseev, Shmuel Wimer, Avinoam Kolodny Timing optimization of interconnect by simultaneous net-ordering, wire sizing and spacing. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Jin-Tai Yan, Bo-Yi Chiang, Chia-Fang Lee Timing-constrained yield-driven wire sizing for critical area minimization. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Reaz Ul Haque, M. Tariq Iqbal, John E. Quaicoe Sizing, Dynamic Modeling and Power Electronics of a Hybrid Energy System. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Jin-Tai Yan, Bo-Yi Chiang, Shi-Qin Huang Width and Timing-Constrained Wire Sizing for Critical Area Minimization. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26John Keane 0001, Hanyong Eom, Tony Tae-Hyoung Kim, Sachin S. Sapatnekar, Chris H. Kim Subthreshold logical effort: a systematic framework for optimal subthreshold device sizing. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF subthreshold logic, ultra-low power design, logical effort
26Matthew R. Guthaus, Dennis Sylvester, Richard B. Brown Clock buffer and wire sizing using sequential programming. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF skew, robust design, clock tree synthesis
26Elisa Ficarra, Luca Benini, Enrico Macii, Giampaolo Zuccheri Automated DNA fragments recognition and sizing through AFM image processing. Search on Bibsonomy IEEE Trans. Inf. Technol. Biomed. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Giorgos Dimitrakopoulos, Dimitris Nikolos Closed-Form Bounds for Interconnect-Aware Minimum-Delay Gate Sizing. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Osamu Matsumoto, Hisashi Harada, Yasuo Morimoto, Toshio Kumamoto, Takahiro Miki, Masao Hotta An 11-bit 160-MS/s 1.35-V 10-mW D/A converter using automated device sizing system. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Zhaojun Wo, Israel Koren Effective analytical delay model for transistor sizing. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Chen Li 0004, Cheng-Kok Koh, Patrick H. Madden Floorplan management: incremental placement for gate sizing and buffer insertion. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Hsinwei Chou, Yu-Hao Wang, Charlie Chung-Ping Chen Fast and effective gate-sizing with multiple-Vt assignment using generalized Lagrangian Relaxation. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Debjit Sinha, Hai Zhou 0001 Yield driven gate sizing for coupling-noise reduction under uncertainty. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Pedro Javier García, José Flich, José Duato, Francisco J. Quiles 0001, Ian Johnson, Finbar Naven On the Correct Sizing on Meshes Through an Effective Congestion Management Strategy. Search on Bibsonomy Euro-Par The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Silvanus T. Enns, Pattita Suwanruji Lot-sizing within capacity-constrained manufacturing systems using time-phased planning. Search on Bibsonomy WSC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Sergey Gorinsky, Anshul Kantawala, Jonathan S. Turner Link Buffer Sizing: A New Look at the Old Problem. Search on Bibsonomy ISCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Nasser Masoumi, Mahmoud Ahmadian, Farshid Raissi, Massoud Masoumi, Jahan B. Ghasemi Enhancing Performance and Saving Energy in CMOS DCVSL Gates by Using a New Transistor Sizing Algorithm. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Alper Atamtürk, Juan Carlos Muñoz A study of the lot-sizing polytope. Search on Bibsonomy Math. Program. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Ting Yang, Matthew Hertz, Emery D. Berger, Scott F. Kaplan, J. Eliot B. Moss Automatic heap sizing: taking real memory into account. Search on Bibsonomy ISMM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF garbage collection, virtual memory, paging
26Pietro Babighian, Luca Benini, Enrico Macii Sizing and Characterization of Leakage-Control Cells for Layout-Aware Distributed Power-Gating. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Hee Beng Kuan Tan, Yuan Zhao ER-Based Software Sizing for Data-Intensive Systems. Search on Bibsonomy ER The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Guido Stehr, Helmut E. Graeb, Kurt Antreich Analog performance space exploration by Fourier-Motzkin elimination with application to hierarchical sizing. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Murari Mani, Michael Orshansky A New Statistical Optimization Algorithm for Gate Sizing. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Yuvraj Singh Dhillon, Abdulkadir Utku Diril, Abhijit Chatterjee, Adit D. Singh Sizing CMOS Circuits for Increased Transient Error Tolerance. Search on Bibsonomy IOLTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Donghoon Han, Abhijit Chatterjee Simulation-in-the-Loop Analog Circuit Sizing Method using Adaptive Model-based Simulated Annealing. Search on Bibsonomy IWSOC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Ashish Srivastava, Dennis Sylvester, David T. Blaauw Statistical optimization of leakage power considering process variations using dual-Vth and sizing. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF optimization, variability, leakage
26Walter Daems, Georges G. E. Gielen, Willy M. C. Sansen Simulation-based generation of posynomial performance models for the sizing of analog integrated circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Ravi S. Prasad, Manish Jain, Constantinos Dovrolis Socket Buffer Auto-Sizing for High-Performance Data Transfers. Search on Bibsonomy J. Grid Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Fast Long-Distance Networks, Grid Computing and Networking, Available Bandwidth, TCP Throughput, Bottleneck Bandwidth
26Jürgen Fischer, Ettore Amirante, Francesco Randazzo, Giuseppe Iannaccone, Doris Schmitt-Landsiedel Reduction of the Energy Consumption in Adiabatic Gates by Optimal Transistor Sizing. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Guido Stehr, Michael Pronath, Frank Schenkel, Helmut E. Graeb, Kurt Antreich Initial Sizing of Analog Integrated Circuits by Centering Within Topology-Given Implicit Specification. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Wenhu Tian, Patrick Martin 0001, Wendy Powley Techniques for automatically sizing multiple buffer pools in DB2. Search on Bibsonomy CASCON The full citation details ... 2003 DBLP  BibTeX  RDF DB2
26Arindam Mukherjee 0001, Kai Wang 0011, Lauren Hui Chen, Malgorzata Marek-Sadowska Sizing Power/Ground Meshes for Clocking and Computing Circuit Components. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Andreas C. Lemke, Lars Hedrich, Erich Barke Analog circuit sizing based on formal methods using affine arithmetic. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Hiran Tennakoon, Carl Sechen Gate sizing using Lagrangian relaxation combined with a fast gradient-based pre-processing step. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Harshit K. Shah, Pun H. Shiu, Brian Bell, Mamie Aldredge, Namarata Sopory, Jeff Davis Repeater insertion and wire sizing optimization for throughput-centric VLSI global interconnects. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Stephanie Augsburger, Borivoje Nikolic Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Pradip Mandal, V. Visvanathan CMOS op-amp sizing using a geometric programming formulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Tao Lin, Lawrence T. Pileggi RC(L) interconnect sizing with second order considerations via posynomial programming. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF RC trees, VLSI design automation, central moments, posynomiality, convex programming, interconnect optimization
26Artur Wróblewski, Otto Schumacher, Christian V. Schimpfle, Josef A. Nossek Minimizing gate capacitances with transistor sizing. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Wei Chen, Cheng-Ta Hsieh, Massoud Pedram Simultaneous gate sizing and placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26F. M. Pérez-Montes, Fernando Medeiro, Rafael Domínguez-Castro, Francisco V. Fernández 0001, Ángel Rodríguez-Vázquez XFridge: A SPICE-Based, Portable, User-Friendly Cell-Level Sizing Tool. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Song-Ra Pan, Yao-Wen Chang Crosstalk-Constrained Performance Optimization by Using Wire Sizing and Perturbation. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Vijay Sundararajan, Sachin S. Sapatnekar, Keshab K. Parhi MINFLOTRANSIT: min-cost flow based transistor sizing tool. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Jason Cong, Lei He 0001 Theory and algorithm of local-refinement-based optimization with application to device and interconnect sizing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Chris C. N. Chu, Martin D. F. Wong An efficient and optimal algorithm for simultaneous buffer and wire sizing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Chris C. N. Chu, Martin D. F. Wong Greedy wire-sizing is linear time. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Chung-Ping Chen, Chris C. N. Chu, Martin D. F. Wong Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Noel Menezes, Chung-Ping Chen Spec-Based Repeater Insertion and Wire Sizing for On-chip Interconnect. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Parthasarathi Dasgupta, Susmita Sur-Kolay, Bhargab B. Bhattacharya A unified approach to topology generation and optimal sizing of floorplans. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Rony Kay, Lawrence T. Pileggi EWA: efficient wiring-sizing algorithm for signal nets and clock nets. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26James T. Kao, Siva G. Narendra, Anantha P. Chandrakasan MTCMOS Hierarchical Sizing Based on Mutual Exclusive Discharge Patterns. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF low power, synthesis, placement, flip-flops, voltage scaling, codec, MPEG4, level converters, design automatian
26Olivier Coudert Gate sizing for constrained delay/power/area optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26Noel Menezes, Ross Baldick, Lawrence T. Pileggi A sequential quadratic programming approach to concurrent gate and wire sizing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26Sachin S. Sapatnekar Wire sizing as a convex optimization problem: exploring the area-delay tradeoff. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Michel R. C. M. Berkelaar, Pim H. W. Buurman, Jochen A. G. Jess Computing the entire active area/power consumption versus delay tradeoff curve for gate sizing with a piecewise linear simulator. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Guangqiu Chen, Hidetoshi Onodera, Keikichi Tamaru Timing and Power Optimization by Gate Sizing Considering False Paths. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Noel Menezes, Ross Baldick, Lawrence T. Pileggi A sequential quadratic programming approach to concurrent gate and wire sizing. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF RC interconnect, optimization, sequential quadratic programming
26Wing Ning Strongly NP-hard discrete gate-sizing problems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
26Michel R. C. M. Berkelaar, Pim H. W. Buurman, Jochen A. G. Jess Computing the entire active area/power consumption versus delay trade-off curve for gate sizing with a piecewise linear simulator. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
26Gary K. H. Yeap, Majid Sarrafzadeh A unified approach to floorplan sizing and enumeration. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
25Samiran DasGupta, Pradip Mandal An Improvised MOS Transistor Model Suitable for Geometric Program Based Analog Circuit Sizing in Sub-micron Technology. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF opamp, submicron sizing, design automation, geometric program
25Sandra Duni Eksioglu, Burak Eksioglu Economic Lot-Sizing Problem. Search on Bibsonomy Encyclopedia of Optimization The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Economic lot-sizing problem, Dynamic programming, Primal-dual algorithm, Valid inequality
25Romildo Martins da Silva Bezerra, Joberto Sérgio Barbosa Martins Network Partitioning and Self-sizing Methods for QoS Management with Autonomic Characteristics. Search on Bibsonomy APNOMS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Self-sizing Networks, Computatio- nal Complexity, Quality of Service, Autonomic Computing, Network Management, MPLS, Bandwidth Allocation, Network Partitioning, LSPs
25Sônia Cristina Poltroniere, Kelly Cristina Poldi, Franklina Maria Bragion Toledo, Marcos Nereu Arenales A coupling cutting stock-lot sizing problem in the paper industry. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Lot sizing and scheduling problems, Lagrangian relaxation, Cutting stock problems
25Leonel Tedesco, Fernando Moraes 0001, Ney Calazans Buffer sizing for QoS flows in wormhole packet switching NoCs. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF quality of service, networks on chip, traffic modeling, buffer sizing
25Bo Chen 0002, Yinyu Ye 0001, Jiawei Zhang 0006 Lot-sizing scheduling with batch setup times. Search on Bibsonomy J. Sched. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Batch setup time, Scheduling, Approximation algorithm, Approximation scheme, Lot-sizing
25Johan Berntsson, Maolin Tang Adaptive sizing of populations and number of islands in distributed genetic algorithms. Search on Bibsonomy GECCO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF genetic algorithms, adaptation, internet computing, population sizing
25Feng Gao 0017, John P. Hayes Total power reduction in CMOS circuits via gate sizing and multiple threshold voltages. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low power, linear programming, gate sizing, dual Vt
25Nicholas G. Hall, Chelliah Sriskandarajah, Tharmarajah Ganesharajah Operational Decisions in AGV-Served Flowshop Loops: Fleet Sizing and Decomposition. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF fleet sizing, decomposition, manufacturing, automated guided vehicles
25In Lee, Jatinder N. D. Gupta, Amar D. Amar A multi-neural-network learning for lot sizing and sequencing on a flow-shop. Search on Bibsonomy SAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF neural networks, sequencing, lot sizing, flow-shop
25Hanoch Levy, Tzippi Mendelson, Moshe Sidi, Joseph Keren-Zvi Sizing exit buffers in ATM networks: an intriguing coexistence of instability and tiny cell loss rates. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF D+G/D/1 queue, end-to-end loss rate, ATM, CBR, buffer sizing
25Rumen Andonov, Nicola Yanev, Hafid Bourzoufi Three-dimensional orthogonal tile sizing problem: mathematical programming approach. Search on Bibsonomy ASAP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF three-dimensional orthogonal tile sizing problem, mathematical programming approach, optimal tiling transformation, three-dimensional uniform recurrences, distributed-memory general-purpose machines, parallelizing compilers, running time, performance tuning, parallelising compilers, nonlinear integer programming, parallel codes
25R. Lo, Richard Webby, D. Ross Jeffery Sizing and Estimating the Coding and Unit Testing Effort for GUI Systems. Search on Bibsonomy IEEE METRICS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF graphical user interface, software metrics, effort estimation, software sizing
25Manjit Borah, Mary Jane Irwin, Robert Michael Owens Minimizing power consumption of static CMOS circuits by transistor sizing and input reordering. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF power consumption minimisation, static CMOS circuits, input reordering, high fan-out gates, power constrained module generator, PowerSizer, logic CAD, circuit layout CAD, CMOS logic circuits, logic circuits, minimisation, arithmetic circuits, circuit optimisation, integrated circuit layout, transistor sizing
23Jerry Rolia, Diwakar Krishnamurthy, Giuliano Casale, Stephen Dawson BAP: a benchmark-driven algebraic method for the performance engineering of customized services. Search on Bibsonomy WOSP/SIPEW The full citation details ... 2010 DBLP  DOI  BibTeX  RDF design
23Animesh Datta, Swarup Bhunia, Jung Hwan Choi, Saibal Mukhopadhyay, Kaushik Roy 0001 Profit Aware Circuit Design Under Process Variations Considering Speed Binning. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Ashish Srivastava, Kaviraj Chopra, Saumil Shah, Dennis Sylvester, David T. Blaauw A Novel Approach to Perform Gate-Level Yield Analysis and Optimization Considering Correlated Variations in Power and Performance. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 3867 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license