The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for slew with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1986-1996 (20) 1997-1999 (20) 2000-2001 (16) 2002-2003 (41) 2004 (18) 2005 (22) 2006 (30) 2007 (20) 2008 (27) 2009 (18) 2010-2011 (22) 2012-2013 (22) 2014-2015 (26) 2016-2017 (23) 2018-2019 (28) 2020 (19) 2021 (16) 2022 (16) 2023 (17)
Publication types (Num. hits)
article(141) incollection(2) inproceedings(277) phdthesis(1)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 164 occurrences of 122 keywords

Results
Found 422 publication records. Showing 421 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Pablo Perez-Nicoli, Francisco Veirano, Pablo Castro-Lisboa, Fernando Silveira High slew-rate OTA with low quiescent current based on non-linear current mirror. Search on Bibsonomy LASCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Hsiang-An Yang, Chao-Chang Chiu, Shin-Chi Lai, Jui-Lung Chen, Chih-Wei Chang, Che-Hao Meng, Ke-Horng Chen, Chin-Long Wey, Ying-Hsi Lin, Chao-Cheng Lee, Jian-Ru Lin, Tsung-Yen Tsai, Hsin-Yu Luo 120V/ns output slew rate enhancement technique and high voltage clamping circuit in high integrated gate driver for power GaN FETs. Search on Bibsonomy ESSCIRC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Sung-Wan Hong, Gyu-Hyeong Cho 7.4μW Ultra-high slew-rate pseudo single-stage amplifier driving 0.1-to-15nF capacitive load with >69° phase margin. Search on Bibsonomy VLSIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Shi Bu, Hing Wa Tse, Ka Nang Leung, Jianping Guo, Marco Ho Gain and slew rate enhancement for amplifiers through current starving and feeding. Search on Bibsonomy ISCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Shu-Hang Zhang, Yu-Cheng Feng, Miin-Shyue Shiau, Qi-Ming Wan, Don-Gey Liu A high-slew rate rail-to-rail operational amplifier by flipped voltage followers. Search on Bibsonomy ASICON The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19K. S. Rakshitdatta, Nagendra Krishnapura On Slew Rate Enhancement in Class-A Opamps Using Local Common-Mode Feedback. Search on Bibsonomy VLSID The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Rasoul Fathipour, Alireza Saberkari, Herminio Martínez, Eduard Alarcón High slew rate current mode transconductance error amplifier for low quiescent current output-capacitorless CMOS LDO regulator. Search on Bibsonomy Integr. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Jesús Aguado Ruiz, Antonio J. López-Martín, Javier López-Lemus, Jaime Ramírez-Angulo Power Efficient Class AB Op-Amps With High and Symmetrical Slew Rate. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Yanhan Zeng, Yuankun Xu, Miaowang Zeng, Hong-Zhou Tan An output-capacitor-free low-dropout regulator with subthreshold slew-rate enhancement technique. Search on Bibsonomy Microelectron. J. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Chun-Kai Wang, Yeh-Chi Chang, Hung-Ming Chen, Ching-Yu Chin Clock Tree Synthesis Considering Slew Effect on Supply Voltage Variation. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Tom Dzamba, John Enright Ground Testing Strategies for Verifying the Slew Rate Tolerance of Star Trackers. Search on Bibsonomy Sensors The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Hsin-Chuan Chen A dead-time generator based on OPA slew rate for consumer electronic applications. Search on Bibsonomy ICCE-TW The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Bin Huang, Degang Chen 0001 A simple slew rate enhancement technique with improved linearity and preserved small signal performance. Search on Bibsonomy MWSCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Yilin Zhang, David Z. Pan Timing-driven, over-the-block rectilinear steiner tree construction with pre-buffering and slew constraints. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Marcel A. Kossel, Christian Menolfi, Thomas Toifl, Pier Andrea Francese, Matthias Braendli, Thomas Morf, Lukas Kull, Toke Meyer Andersen, Hazar Yueksel A DDR3/4 memory link TX supporting 24-40 Ω, 0.8-1.6 V, 0.8-5.0 Gb/s with slew rate control and thin oxide output stages in 22-nm CMOS SOI. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Tzung-Je Lee, Kai-Wei Ruan, Chua-Chin Wang 32% Slew rate and 27% data rate improved 2×VDD output buffer using PVTL compensation. Search on Bibsonomy ICICDT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Seng Siong Lee, Ahmed Saad, Lini Lee, Wai Lee Kung On-chip slew-rate control for low-voltage differential signalling (LVDS) driver. Search on Bibsonomy ISPACS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Sreehari Rao Patri, Suresh Alapati, Surendra Chowdary, K. S. R. Krishna Prasad 250mA ultra low drop out regulator with high slew rate double recycling folded cascode error amplifier. Search on Bibsonomy VDAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Hailong Jiao, Volkan Kursun Reactivation Noise Suppression With Sleep Signal Slew Rate Modulation in MTCMOS Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Amlan Ghosh, Rahul M. Rao, Jae-Joon Kim, Ching-Te Chuang, Richard B. Brown Slew-Rate Monitoring Circuit for On-Chip Process Variation Detection. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Chua-Chin Wang, Wen-Je Lu, Chih-Lin Chen, Hsin-Yuan Tseng, Ron-Chi Kuo, Chun-Ying Juan A 2×VDD output buffer with PVT detector for slew rate compensation. Search on Bibsonomy Microelectron. J. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Chua-Chin Wang, Chih-Lin Chen, Ron-Chi Kuo, Hsin-Yuan Tseng, Jen-Wei Liu, Chun-Ying Juan On-Chip Process and Temperature Monitor for Self-Adjusting Slew Rate Control of 2, ×, VDD Output Buffers. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Richard Turkson, Suraj Prakash, José Silva-Martínez, Herminio Martínez-Garcia Envelope tracking technique with bang-bang slew-rate enhancer for linear wideband RF PAs. Search on Bibsonomy MWSCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Mohsen Hassanpourghadi, Mohammad Sharifkhani Step response analysis of third order OpAmps With slew-rate. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Sang-Soo Lee, Edward Boling, Augustine Kuo, Robert Rogenmoser A slew-rate based process monitor and bi-directional body bias circuit for adaptive body biasing in SoC applications. Search on Bibsonomy CICC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Andrew B. Kahng, Seokhyeong Kang, Hyein Lee 0001, Siddhartha Nath, Jyoti Wadhwani Learning-based approximation of interconnect delay and slew in signoff timing tools. Search on Bibsonomy SLIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Marcel A. Kossel, Christian Menolfi, Thomas Toifl, Pier Andrea Francese, Matthias Braendli, Peter Buchmann, Lukas Kull, Toke Meyer Andersen, Thomas Morf A 5.7mW/Gb/s 24-to-240Ω 1.6Gb/s thin-oxide DDR transmitter with 1.9-to-7.6V/ns clock-feathering slew-rate control in 22nm CMOS. Search on Bibsonomy ISSCC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Alireza Saberkari, Rasoul Fathipour, Herminio Martínez, Alberto Poveda, Eduard Alarcón Output-capacitorless CMOS LDO regulator based on high slew-rate current-mode transconductance amplifier. Search on Bibsonomy ISCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Ahmed Hashim, Bertan Bakkaloglu Fast transient digitally controlled buck regulator with inductor current slew-rate boost. Search on Bibsonomy ISCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Hossein Kassiri, M. Jamal Deen Slew-rate enhancement for a single-ended low-power two-stage amplifier. Search on Bibsonomy ISCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Tongning Hu, Bo Wang 0016, Ke Lin, Yi Peng, Xin'an Wang A three-stage LDO with active feedback frequency compensation and slew-rate enhancement. Search on Bibsonomy ASICON The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Jingwei Lu, Wing-Kai Chow, Chiu-Wing Sham Fast Power- and Slew-Aware Gated Clock Tree Synthesis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Fotis Plessas, Efthimios Davrazos, Alexis Alexandropoulos, Michael K. Birbas, John C. Kikidis A 1 GHz, DDR2/3 SSTL driver with On-Die Termination, strength calibration, and slew rate control. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Chutham Sawigun, Andreas Demosthenous, Xiao Liu 0001, Wouter A. Serdijn A Compact Rail-to-Rail Class-AB CMOS Buffer With Slew-Rate Enhancement. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Yeh-Chi Chang, Chun-Kai Wang, Hung-Ming Chen On construction low power and robust clock tree via slew budgeting. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Sumantra Seth, Jayesh Wadekar A differential self-biased slew rate controlled driver for accurate cross-over and rise-fall time matching. Search on Bibsonomy ESSCIRC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Tao Huang 0016, Evangeline F. Y. Young Construction of rectilinear Steiner minimum trees with slew constraints over obstacles. Search on Bibsonomy ICCAD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Young-Joon Lee, Inki Hong, Sung Kyu Lim Slew-aware buffer insertion for through-silicon-via-based 3D ICs. Search on Bibsonomy CICC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Chih-Lin Chen, Hsin-Yuan Tseng, Ron-Chi Kuo, Chua-Chin Wang On-chip MOS PVT variation monitor for slew rate self-adjusting 2×VDD output buffers. Search on Bibsonomy ICICDT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Chih-Lin Chen, Hsin-Yuan Tseng, Ron-Chi Kuo, Chua-Chin Wang A slew rate self-adjusting 2×VDD output buffer With PVT compensation. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Chien-Hung Tsai, Jia-Hui Wang Capacitor-less low-dropout regulator with slew-rate-enhanced circuit. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Merih Yildiz, Shahram Minaei, Emre Arslan High-slew Rate Low-Quiescent Current rail-to-rail CMOS Buffer amplifier for Flat Panel Displays. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Jeremy R. Tolbert, Xin Zhao 0001, Sung Kyu Lim, Saibal Mukhopadhyay Analysis and Design of Energy and Slew Aware Subthreshold Clock Systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Rajesh Amratlal Thakker, Mayank Srivastava, Ketankumar H. Tailor, Maryam Shojaei Baghini, Dinesh Kumar Sharma, V. Ramgopal Rao, Mahesh B. Patil A novel architecture for improving slew rate in FinFET-based op-amps and OTAs. Search on Bibsonomy Microelectron. J. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Anant S. Kamath, Vikas Sinha, Sujoy Chakravarty Slew-rate controlled 800Mbps transmitter in 65nm CMOS. Search on Bibsonomy ISCAS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Edward N. Y. Ho, Philip K. T. Mok Design optimization of an output capacitor-less low dropout regulator with compensation capcitance reduction and slew-rate enhancement technique. Search on Bibsonomy ISCAS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Hailong Jiao, Volkan Kursun Sleep signal slew rate modulation for mode transition noise suppression in ground gated integrated circuits. Search on Bibsonomy SoCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Feifei Niu, Qiang Zhou 0001, Hailong Yao, Yici Cai, Jianlei Yang 0001, Chin Ngai Sze Obstacle-avoiding and slew-constrained buffered clock tree synthesis for skew optimization. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Abinash Roy, Jingye Xu, Masud H. Chowdhury Analysis of the Impacts of Signal Slew and Skew on the Behavior of Coupled RLC Interconnects for Different Switching Patterns. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Davide Marano, Gaetano Palumbo, Salvatore Pennisi Improved Low-Power High-Speed Buffer amplifier with slew-Rate Enhancement for LCD Applications. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Chien Pang Lu, Mango Chia-Tso Chao, Chen Hsing Lo, Chih-Wei Chang A Metal-Only-ECO Solver for Input-Slew and Output-Loading Violations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Edward N. Y. Ho, Philip K. T. Mok A Capacitor-Less CMOS Active Feedback Low-Dropout Regulator With Slew-Rate Enhancement for Portable On-Chip Application. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Young-Ho Kwak, Inhwa Jung, Chulwoo Kim A Gb/s+ Slew-Rate/Impedance-Controlled Output Driver With Single-Cycle Compensation Time. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Jun-Kuei Zeng, Chung-Ping Chen Interconnect delay and slew metrics using the beta distribution. Search on Bibsonomy DATE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Xin Zhao 0001, Sung Kyu Lim Power and slew-aware clock network design for through-silicon-via (TSV) based 3D ICs. Search on Bibsonomy ASP-DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Hae-Kang Jung, Soo-Min Lee, Jae-Yoon Sim, Hong-June Park A slew-rate controlled transmitter to compensate for the crosstalk-induced jitter of coupled microstrip lines. Search on Bibsonomy CICC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Jun-Kuei Zeng, Chung-Ping Chen Interconnect delay and slew metrics using the extreme value distribution. Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Rajib Kar, Vikas Maheshwari, Md. Maqbool, Ashish Kumar Mal, A. K. Bhattacharjee A Closed Form Slew Evaluation Approach Using Burr's Distribution Function for High Speed On-Chip RC Interconnects. Search on Bibsonomy BAIP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Armin Tajalli, Yusuf Leblebici A Slew Controlled LVDS Output Driver Circuit in 0.18 µm CMOS Technology. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Shingo Takahashi, Shuji Tsukiyama A New Statistical Timing Analysis Using Gaussian Mixture Models for Delay and Slew Propagated Together. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Shiyan Hu, Jiang Hu A fast general slew constrained minimum cost buffering algorithm. Search on Bibsonomy Microelectron. J. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Anup Mane, Deepa Yagain A High CMRR, High Slew Rate, Low Total Harmonic Distortion CMOS OTA for HF Applications. Search on Bibsonomy ICETET The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Amlan Ghosh, Richard B. Brown, Rahul M. Rao, Ching-Te Chuang A Precise Negative Bias Temperature Instability Sensor using Slew-rate Monitor Circuitry. Search on Bibsonomy ISCAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Yat-To Wong, Chik-Wai Ng, Ho-Ming Wan, Kwok-Kuen Kwong, Yat-Hei Lam, Wing-Hung Ki Near-threshold Startup Integrated Boost Converter with Slew Rate Enhanced Error Amplifier. Search on Bibsonomy ISCAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Aldo Pena-Perez, Kumar Y. B. Nithin, Edoardo Bonizzoni, Franco Maloberti Slew-rate and Gain Enhancement in Two Stage Operational Amplifiers. Search on Bibsonomy ISCAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Ming-Dou Ker, Tzu-Ming Wang, Fang-Ling Hu Design on mixed-voltage I/O buffers with slew-rate control in low-voltage CMOS process. Search on Bibsonomy ICECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Abinash Roy, Jingye Xu, Masud H. Chowdhury Impacts of signal slew and skew variations on delay uncertainty and crosstalk noise in coupled RLC global interconnects. Search on Bibsonomy ICECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Shainky Gupta, Anuran Chattaraj, Rajib Kar, Ashish Kumar Mal Interconnect Slew Metric Using Nakagami-M Distribution. Search on Bibsonomy ICETET The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Dong-Uk Lee, Shin-Deok Kang, Nak-Kyu Park, Hyun-Woo Lee, Young-Kyoung Choi, Jung-Woo Lee, Seung-Wook Kwack, Hyeong-Ouk Lee, Won-Joo Yun, Sang-Hoon Shin, Kwan-Weon Kim, Young-Jung Choi, Ye Seok Yang Multi-Slew-Rate Output Driver and Optimized Impedance-Calibration Circuit for 66nm 3.0Gb/s/pin DRAM Interface. Search on Bibsonomy ISSCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Chunming Zhang, Zhibiao Shao Controlled slew rate enhancement circuit for error amplifier in high frequency DC-DC converters. Search on Bibsonomy APCCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Shingo Takahashi, Shuji Tsukiyama A new statistical timing analyzer propagating delay and slew distributions simultaneously. Search on Bibsonomy APCCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Soon-Kyun Shin, Wang Yu, Young-Hyun Jun, Jae-Whui Kim, Bai-Sun Kong, Chil-Gee Lee Slew-Rate-Controlled Output Driver Having Constant Transition Time Over Process, Voltage, Temperature, and Output Load Variations. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Tsz Yin Man, Philip K. T. Mok, Mansun Chan A High Slew-Rate Push-Pull Output Amplifier for Low-Quiescent Current Low-Dropout Regulators With Transient-Response Improvement. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Tongyu Song, Jingyu Hu, Xiaohong Li 0007, Shouli Yan A Constant- gm Constant-Slew-Rate Rail-to-Rail Input Stage With Static Feedback and Dynamic Current Steering for VLSI Cell Libraries. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Hsin-Chi Lai, Zhi-Ming Lin A High Slew-Rate and Low-Power Buffer Amplifier for High Resolution TFT-LCD Drivers. Search on Bibsonomy IMECS The full citation details ... 2007 DBLP  BibTeX  RDF
19Christophe Louembet, Franck Cazaurang, Ali Zolghadri, Catherine Charbonnel, Christelle Pittet Design of Algorithms for Satellite Slew Manoeuver by Flatness and Collocation. Search on Bibsonomy ACC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Young-Ho Kwak, Inhwa Jung, Hyung-Dong Lee, Young-Jung Choi, Yogendera Kumar, Chulwoo Kim A One-Cycle Lock Time Slew-Rate-Controlled Output Driver. Search on Bibsonomy ISSCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Nikolaos Charalampidis, Khaled Hayatleh, Bryan L. Hart, F. John Lidgey A wide bandwidth voltage-follower with low distortion and high slew rate. Search on Bibsonomy ICECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Antonio J. López-Martín, Sushmita Baswa, Jaime Ramírez-Angulo, Ramón González Carvajal Low-Voltage Super class AB CMOS OTA cells with very high slew rate and power efficiency. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Zhangcai Huang, Atsushi Kurokawa, Jun Pan, Yasuaki Inoue Modeling the Effective Capacitance of Interconnect Loads for Predicting CMOS Gate Slew. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Mohammad Yavari, Nima Maghari, Omid Shoaei An accurate analysis of slew rate for two-stage CMOS opamps. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Hoi Lee, Philip K. T. Mok, Ka Nang Leung Design of low-power analog drivers based on slew-rate enhancement circuits for CMOS low-dropout regulators. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Igor Arsovski, Rahul Nadkarni Low-noise embedded CAM with reduced slew-rate match-lines and asynchronous search-lines. Search on Bibsonomy CICC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Soon-Kyun Shin, Wang Yu, Bai-Sun Kong, Chil-Gee Lee, Young-Hyun Jun, Jae-Whui Kim A slew rate-controlled output driver having a constant transition time over the variations of process, voltage and temperature. Search on Bibsonomy CICC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Tatsuya Matano, Yasuhiro Takai, Tsugio Takahashi, Yuusuke Sakito, Isamu Fujii, Yoshihiro Takaishi, Hiroki Fujisawa, Shuichi Kubouchi, Seiji Narui, Koji Arai, Makoto Morino, Masayuki Nakamura, Shinichi Miyatake, Toshihiro Sekiguchi, Kuniaki Koyama A 1-Gb/s/pin 512-Mb DDRII SDRAM using a digital DLL and a slew-rate-controlled output buffer. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Juan M. Carrillo, J. Francisco Duque-Carrillo, Guido Torelli, José L. Ausín Constant-gm constant-slew-rate high-bandwidth low-voltage rail-to-rail CMOS input stage for VLSI cell libraries. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Soon-Kyun Shin, Seok-Min Jung, Jin-Ho Seo, Myeong-Lyong Ko, Jae-Whui Kim A slew-rate controlled output driver using PLL as compensation circuit. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Charles J. Alpert, Andrew B. Kahng, Bao Liu 0001, Ion I. Mandoiu, Alexander Zelikovsky Minimum buffered routing with bounded capacitive load for slew rate and reliability control. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Ki-Seok Kim, Youdan Kim Robust backstepping control for slew maneuver using nonlinear tracking function. Search on Bibsonomy IEEE Trans. Control. Syst. Technol. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Chanat La-orpacharapan, Lucy Y. Pao Shaped time-optimal control for disk drive systems with back EMF, slew rate limits, and different acceleration and deceleration rates. Search on Bibsonomy ACC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Antonio Jesús Torralba Silgado, Ramón González Carvajal, Juan Antonio Gómez Galán, Jaime Ramírez-Angulo A new compact low-power high slew rate class AB CMOS buffer. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Juan M. Carrillo, J. Francisco Duque-Carrillo, Guido Torelli, José L. Ausín Constant-gm constant-slew-rate high-bandwidth low-voltage rail-to-rail CMOS input stage for VLSI cell libraries. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Chanat La-orpacharapan, Lucy Y. Pao Shaped control for damped flexible structures with friction and slew rate limits. Search on Bibsonomy CDC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19David S. Nack, Kenneth C. Dyer A constant slew rate Ethernet line driver. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Wei Hua, Chen Zhou Data Filtering and Piecewise Regression in Estimating Slew Machine Timing Model. Search on Bibsonomy ICRA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Charles J. Alpert, Andrew B. Kahng, Bao Liu 0001, Ion I. Mandoiu, Alexander Zelikovsky Minimum-Buffered Routing of Non-Critical Nets for Slew Rate and Reliability Control. Search on Bibsonomy ICCAD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Ali Tabatabaei, Ali Fotowat, Michael Delurio, Saeed Navid A high slew-rate unity-gain low-voltage buffer with large active/quiescent current ratio. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19William Redman-White A high bandwidth constant gm and slew-rate rail-to-rail CMOS input circuit and its application to analog cells for low voltage VLSI systems. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Farhood Moraveji A wide-band, low-power, high slew rate voltage-feedback operational amplifier. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
19Dong Yi, Zeng Qingming, Cai Keli, Zhang Keqiang Integrated AlGaAs/GaAs HBT high slew-rate and wide band operational amplifier. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 421 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license