|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 4695 occurrences of 2325 keywords
|
|
|
Results
Found 9752 publication records. Showing 9752 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
30 | Jason Helge Anderson, Farid N. Najm |
Low-power programmable routing circuitry for FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2004 International Conference on Computer-Aided Design, ICCAD 2004, San Jose, CA, USA, November 7-11, 2004, pp. 602-609, 2004, IEEE Computer Society / ACM, 0-7803-8702-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
30 | Deng Pan, Yuanyuan Yang |
FIFO Based Multicast Scheduling Algorithm for VOQ Packet Switches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 33rd International Conference on Parallel Processing (ICPP 2004), 15-18 August 2004, Montreal, Quebec, Canada, pp. 318-325, 2004, IEEE Computer Society, 0-7695-2197-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
30 | Marco Ajmone Marsan, Andrea Bianco, Paolo Giaccone, Emilio Leonardi, Fabio Neri |
Multicast traffic in input-queued switches: optimal scheduling and maximum throughput. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE/ACM Trans. Netw. ![In: IEEE/ACM Trans. Netw. 11(3), pp. 465-477, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
scheduling, switching, input queued switches, multicast traffic |
30 | Alexander Kesselman, Adi Rosén |
Scheduling policies for CIOQ switches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: SPAA 2003: Proceedings of the Fifteenth Annual ACM Symposium on Parallelism in Algorithms and Architectures, June 7-9, 2003, San Diego, California, USA (part of FCRC 2003), pp. 353-362, 2003, ACM, 1-58113-661-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
CIOQ switches, competitive analysis, buffer management, scheduling policies |
30 | Ahmed Aslam, Kenneth J. Christensen |
Parallel Packet Switching Using Multiplexors with Virtual Input Queues. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: 27th Annual IEEE Conference on Local Computer Networks (LCN 2002), 6-8 November 2002, Tampa, FL, USA, Proceedings, pp. 270-277, 2002, IEEE Computer Society, 0-7695-1591-6. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
30 | John W. Lockwood, Jonathan S. Turner, David E. Taylor |
Field programmable port extender (FPX) for distributed routing and queuing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, FPGA 2000, Monterey, CA, USA, February 10-11, 2000, pp. 137-144, 2000, ACM, 1-58113-193-3. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
30 | Winfried Grünewald, Theo Ungerer |
A Multithreaded Processor Designed for Distributed Shared Memory Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APDC ![In: Proceedings of the 1997 Advances in Parallel and Distributed Computing Conference (APDC '97), March 19-21, 1997, Shanghai, China, pp. 206-213, 1997, IEEE Computer Society, 0-8186-7876-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
30 | Yao-Wen Chang, Shashidhar Thakur, Kai Zhu 0001, D. F. Wong 0001 |
A new global routing algorithm for FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1994, San Jose, California, USA, November 6-10, 1994, pp. 356-361, 1994, IEEE Computer Society / ACM, 0-89791-690-5. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
29 | Chuan-Ching Sue |
An Enhanced Universal N x N Fully Nonblocking Quantum Switch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 58(2), pp. 238-250, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
29 | Ahmad Sinjari, Sazzadur Chowdhury |
A Single-Pole-Triple-Throw (SP3T) MEMS RF switch for 24 GHz short range radar. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EIT ![In: 2009 IEEE International Conference on Electro/Information Technology, EIT 2009, Windsor, Ontario, Canada, June 7-9, 2009, pp. 182-185, 2009, IEEE, 978-1-4244-3355-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
29 | Bastian Katz, Ignaz Rutter, Gerhard J. Woeginger |
An Algorithmic Study of Switch Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WG ![In: Graph-Theoretic Concepts in Computer Science, 35th International Workshop, WG 2009, Montpellier, France, June 24-26, 2009. Revised Papers, pp. 226-237, 2009, 978-3-642-11408-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
29 | Klaus Reinhardt |
The Simple Reachability Problem in Switch Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SOFSEM ![In: SOFSEM 2009: Theory and Practice of Computer Science, 35th Conference on Current Trends in Theory and Practice of Computer Science, Spindleruv Mlýn, Czech Republic, January 24-30, 2009. Proceedings, pp. 461-472, 2009, Springer, 978-3-540-95890-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
29 | Rene L. Cruz, Saleh Al-Harthi |
A service-curve framework for packet scheduling with switch configuration delays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE/ACM Trans. Netw. ![In: IEEE/ACM Trans. Netw. 16(1), pp. 196-205, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
ballast packet, convoy, convoy buffer, scheduling, quality of service (QoS), MEMS, network calculus, optical packet switching |
29 | Jeongwon Cha, Minsik Ahn, Changhyuk Cho, Chang-Ho Lee, Joy Laskar |
A charge-pump based 0.35µm CMOS RF switch driver for multi-standard operations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, USA, pp. 452-455, 2008, IEEE, 978-1-4244-1683-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
29 | Malla Reddy Perati, L. P. Raj Kumar, Kumar K. Sampath, Shou-Kuo Shao |
Analytical model for the switch handling self-similar traffic with variable packet length. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICON ![In: Proceedings of the 16th International Conference on Networks, ICON 2008, December 12-14, 2008, held at India Habitat Centre, New Delhi, India, pp. 1-5, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
29 | François Abel, Cyriel Minkenberg, Ilias Iliadis, Antonius P. J. Engbersen, Mitchell Gusat, Ferdinand Gramsamer, Ronald P. Luijten |
Design issues in next-generation merchant switch fabrics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE/ACM Trans. Netw. ![In: IEEE/ACM Trans. Netw. 15(6), pp. 1603-1615, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
combined Input and crosspoint Queueing (CICQ), interconnection networks, packet switching, buffered crossbar |
29 | Lei Wang, Junyan Ren, Wenjing Yin, Tingqian Chen, Jun Xu 0011 |
A High-Speed High-Resolution Low-Distortion CMOS Bootstrapped Switch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2007), 27-20 May 2007, New Orleans, Louisiana, USA, pp. 1721-1724, 2007, IEEE, 1-4244-0920-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
29 | Mustafa Acar, Anne-Johan Annema, Bram Nauta |
Analytical Design Equations for Class-E Power Amplifiers with Finite DC-Feed Inductance and Switch On-Resistance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2007), 27-20 May 2007, New Orleans, Louisiana, USA, pp. 2818-2821, 2007, IEEE, 1-4244-0920-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
29 | Klaus Steinhammer, Petr Grillinger, Astrit Ademaj, Hermann Kopetz |
A time-triggered ethernet (TTE) switch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2006, Munich, Germany, March 6-10, 2006, pp. 794-799, 2006, European Design and Automation Association, Leuven, Belgium, 3-9810801-1-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
29 | Chang-Ching Wu, A. Yen, Jen-Chung Chang |
A 0.13µm CMOS T/R switch design for ultrawideband wireless applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece, 2006, IEEE, 0-7803-9389-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
29 | Yunfeng Peng, Derui Kong, Feng Zhou |
A Low-Voltage Sampling Switch with Improved Linearity. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCECE ![In: Proceedings of the Canadian Conference on Electrical and Computer Engineering, CCECE 2006, May 7-10, 2006, Ottawa Congress Centre, Ottawa, Canada, pp. 1706-1709, 2006, IEEE, 1-4244-0038-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
29 | Byung-Joo Hong, Koon-Shik Cho, Seung-Hyun Kang, Suk-Yoon Lee, Jun Dong Cho |
On the Configurable Multiprocessor SoC Platform with Crossbar Switch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APCCAS ![In: IEEE Asia Pacific Conference on Circuits and Systems 2006, APCCAS 2006, Singapore, 4-7 December 2006, pp. 1087-1090, 2006, IEEE, 1-4244-0387-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
29 | Yufeng Li 0002, Han Qiu 0004, Julong Lan, Jianwen Yang |
Analysis of the Centralized Algorithm and the Distributed Algorithm for Parallel Packet Switch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDCAT ![In: Seventh International Conference on Parallel and Distributed Computing, Applications and Technologies (PDCAT 2006), 4-7 December 2006, Taipei, Taiwan, pp. 156-161, 2006, IEEE Computer Society, 0-7695-2736-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
29 | Srikanth Arekapudi, Shang-Tse Chuang, Isaac Keslassy, Nick McKeown |
Using Hardware to Configure a Load-Balanced Switch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 25(1), pp. 70-78, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
29 | K. M. Naegle, Subhanshu Gupta, David J. Allstot |
Design considerations for a 10 GHz CMOS transmit-receive switch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (3) ![In: International Symposium on Circuits and Systems (ISCAS 2005), 23-26 May 2005, Kobe, Japan, pp. 2104-2107, 2005, IEEE, 0-7803-8834-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
29 | Boris Trouvain, Christopher M. Schlick |
A study of audio and visual context switch indicators in a multirobot navigation task. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SMC (3) ![In: Proceedings of the IEEE International Conference on Systems, Man & Cybernetics: The Hague, Netherlands, 10-13 October 2004, pp. 2821-2826, 2004, IEEE, 0-7803-8566-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
29 | Xiaofeng Hu, Zhigang Sun, Xicheng Lu, Jinshu Su |
Using Split Queues to Improve the Performance of Parallel Switch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APPT ![In: Advanced Parallel Programming Technologies, 5th International Workshop, APPT 2003, Xiamen, China, September 17-19, 2003, Proceedings, pp. 3-11, 2003, Springer, 3-540-20054-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
29 | Deming Liu, Yann-Hang Lee |
An Efficient Switch Design for Scheduling Real-Time Multicast Traffic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: Real-Time and Embedded Computing Systems and Applications, 9th International Conference, RTCSA 2003, Tainan, Taiwan, February 18-20, 2003. Revised Papers, pp. 194-207, 2003, Springer, 3-540-21974-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Earliest Deadline First Round Robin, Quality of Service, Multicasting, Real-Time Communications, Packet Switching Network |
29 | Partha Pratim Pande, Cristian Grecu, André Ivanov, Res Saleh |
Design of a switch for network on chip applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (5) ![In: Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS 2003, Bangkok, Thailand, May 25-28, 2003, pp. 217-220, 2003, IEEE, 0-7803-7761-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
29 | Qiang Duan, Xinghe Li, Linjie Zhang |
Delay Performance Analysis for the Buffered Crossbar Switch . ![Search on Bibsonomy](Pics/bibsonomy.png) |
AINA ![In: 17th International Conference on Advanced Information Networking and Applications (AINA'03), March 27-29, 2003, Xi'an, China, pp. 750-755, 2003, IEEE Computer Society, 0-7695-1906-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
29 | Tsern-Huei Lee, Ying-Che Kuo |
Performance Evaluation of Combined Input Output Queued Switch with Finite Input and Output Buffers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICOIN (1) ![In: Information Networking, Wireless Communications Technologies and Network Applications, International Conference, ICOIN 2002, Cheju Island, Korea, January 30 - February 1, 2002, Revised Papers, Part I, pp. 203-214, 2002, Springer, 3-540-44256-1. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
29 | Hongbing Fan, Jiping Liu, Yu-Liang Wu |
Combinatorial routing analysis and design of universal switch blocks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of ASP-DAC 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan, pp. 641-644, 2001, ACM, 0-7803-6634-4. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
29 | Peter Homan, Janez Bester |
FPCF Input-Queued Packet Switch for Variable-Size Packets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICN (2) ![In: Networking - ICN 2001, First International Conference, Colmar, France, July 9-13, 2001 Proceedings, Part 2, pp. 348-357, 2001, Springer, 3-540-42303-6. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
29 | Marius Pirvu, Laxmi N. Bhuyan, Nan Ni |
The Impact of Link Arbitration on Switch Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Fifth International Symposium on High-Performance Computer Architecture, Orlando, FL, USA, January 9-12, 1999, pp. 228-235, 1999, IEEE Computer Society, 0-7695-0004-8. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
29 | Robert Chen-Hao Chang, Lung-Chih Kuo, Chih-Yuan Hsieh |
VLSI implementation of a multicast ATM switch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (1) ![In: Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30 - June 2, 1999, pp. 129-132, 1999, IEEE, 0-7803-5471-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
29 | Deni Torres, J. Gonzalez, Manuel Guzman, L. Nuñez |
A new bus assignment in a designed shared bus switch fabric. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (1) ![In: Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30 - June 2, 1999, pp. 423-426, 1999, IEEE, 0-7803-5471-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
29 | D. Shmilovitz, Dariusz Czarkowski, Zivan Zabar |
New switch-mode topology for VAR compensation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (5) ![In: Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30 - June 2, 1999, pp. 98-101, 1999, IEEE, 0-7803-5471-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
29 | Patrice Godefroid, Robert S. Hanmer, Lalita Jategaonkar Jagadeesan |
Model Checking Without a Model: An Analysis of the Heart-Beat Monitor of a Telephone Switch Using VeriSoft. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSTA ![In: Proceedings of ACM SIGSOFT International Symposium on Software Testing and Analysis, ISSTA 1998, Clearwater Beach, Florida, USA, March 2-5, 1998, pp. 124-133, 1998, ACM, 0-89791-971-8. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
29 | Sandeep Agarwal, Fayez El Guibaly |
Modeling of Shift Register-based ATM Switch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Great Lakes Symposium on VLSI ![In: 8th Great Lakes Symposium on VLSI (GLS-VLSI '98), 19-21 February 1998, Lafayette, LA, USA, pp. 146-151, 1998, IEEE Computer Society, 0-8186-8409-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
29 | Sreeranga P. Rajan, Masahiro Fujita |
Integration of High-Level Modeling, Formal Verification, and High-Level Synthesis in ATM Switch Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 11th International Conference on VLSI Design (VLSI Design 1991), 4-7 January 1998, Chennai, India, pp. 552-557, 1998, IEEE Computer Society, 0-8186-8224-8. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Modeling, Formal Verification, ATM, Validation, High-Level Synthesis, VHDL |
29 | G. Andrew Boughton |
Arctic Switch Fabric. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PCRCW ![In: Parallel Computer Routing and Communication, Second International Workshop, PCRCW'97, Atlanta, Georgia, USA, June 26-27, 1997, Proceedings, pp. 65-74, 1997, Springer, 3-540-64571-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
29 | Ram Kesavan, Kiran Bondalapati, Dhabaleswar K. Panda 0001 |
Multicast on Irregular Switch-Based Networks with Wormhole Routing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the 3rd IEEE Symposium on High-Performance Computer Architecture (HPCA '97), San Antonio, Texas, USA, February 1-5, 1997, pp. 48-57, 1997, IEEE Computer Society, 0-8186-7764-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Link Contention and Networks of Workstations, Multicast, Broadcast, Wormhole Routing, Collective communication, Irregular Networks |
29 | A. Sriram, Fadi J. Kurdahi |
Behavioral Modeling of an ATM Switch using SpecCharts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 9th International Conference on VLSI Design (VLSI Design 1996), 3-6 January 1996, Bangalore, India, pp. 19-22, 1996, IEEE Computer Society, 0-8186-7228-5. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
29 | Wolfgang Meyer 0002, Raul Camposano |
Active timing multilevel fault-simulation with switch-level accuracy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 14(10), pp. 1241-1256, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
29 | Derek C. W. Pao, W. N. Chau |
Design of ATM switch using hypercube with distributed shared input buffers and dedicated output buffers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICNP ![In: 1995 International Conference on Network Protocols, ICNP 1995, November 7-10, 1995, Tokyo, Japan, pp. 92-99, 1995, IEEE Computer Society, 0-8186-7216-1. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
29 | Lawrence P. Huang, Randal E. Bryant |
Intractability in linear switch-level simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 12(6), pp. 829-836, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
29 | Dan Adler |
A Dynamically-Directed Switch Model for MOS Logic Simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 25th ACM/IEEE Conference on Design Automation, DAC '88, Anaheim, CA, USA, June 12-15, 1988., pp. 506-511, 1988, ACM. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP BibTeX RDF |
|
29 | Rochit Rajsuman, Yashwant K. Malaiya, Anura P. Jayasumana |
On Accuracy of Switch-Level Modeling of Bridging Faults in Complex Gates. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 24th ACM/IEEE Design Automation Conference. Miami Beach, FL, USA, June 28 - July 1, 1987., pp. 244-250, 1987, IEEE Computer Society Press / ACM. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
|
29 | Joseph Mazzetti |
Design considerations for electronic funds transfer switch system development. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AFIPS National Computer Conference ![In: American Federation of Information Processing Societies: 1976 National Computer Conference, 7-10 June 1976, New York, NY, USA, pp. 139-146, 1976, AFIPS Press, 978-1-4503-7917-5. The full citation details ...](Pics/full.jpeg) |
1976 |
DBLP DOI BibTeX RDF |
|
28 | Heiko Zimmer, Axel Jantsch |
A fault model notation and error-control scheme for switch-to-switch buses in a network-on-chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2003, Newport Beach, CA, USA, October 1-3, 2003, pp. 188-193, 2003, ACM, 1-58113-742-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
fault tolerance, network-on-chip, bus encoding |
28 | Juan José Jaramillo, Fabio Milan, R. Srikant 0001 |
Padded frames: a novel algorithm for stable scheduling in load-balanced switches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE/ACM Trans. Netw. ![In: IEEE/ACM Trans. Netw. 16(5), pp. 1212-1225, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Birkhoff-von Neumann switch, load-balanced switch, scheduling |
28 | María Blanca Caminero, Carmen Carrión 0001, Francisco J. Quiles 0001, José Duato, Sudhakar Yalamanchili |
Traffic Scheduling Solutions with QoS Support for an Input-Buffered MultiMedia Router. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 16(11), pp. 1009-1021, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
link/switch scheduling, Quality of Service (QoS), LANs, switch architecture, cluster networks |
28 | Olav Lysne |
Deadlock Avoidance for Switches Based on Wormhole Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: Proceedings of the International Conference on Parallel Processing 1999, ICPP 1999, Wakamatsu, Japan, September 21-24, 1999, pp. 68-74, 1999, IEEE Computer Society, 0-7695-0350-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Inter-switch deadlocks, Interconnect networks, Wormhole routing, Deadlock avoidance, Switch fabric |
27 | Jingmei Zhang, Yi Pang, Kai Wang, Dongxing Xu, Lei Pan 0006 |
Modulation Method for Nine-Switch Converter Based on Equivalent Mechanism Between Nine-Switch Converter and Dual Six-Switch Converters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Ind. Electron. ![In: IEEE Trans. Ind. Electron. 68(4), pp. 2845-2855, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
27 | Jussi Nykänen, Virpi Kristiina Tuunainen, Tuure Tuunanen, Fiona Nah |
Three Stages of Consumers' Multi-Stage Dichotomic Switching Process. Pre-Switch, switch, and post-switch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Scand. J. Inf. Syst. ![In: Scand. J. Inf. Syst. 33(1), pp. 8, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP BibTeX RDF |
|
27 | Kaoru Nashiro, Shuo Qin, Margaret A. O'Connell, Chandramallika Basak |
Age-related differences in BOLD modulation to cognitive control costs in a multitasking paradigm: Global switch, local switch, and compatibility-switch costs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NeuroImage ![In: NeuroImage 172, pp. 146-161, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
27 | Viranjay M. Srivastava, Kalyan S. Yadav, Ghanshyam Singh |
Analysis of drain Current and Switching Speed for SPDT Switch and Dpdt Switch with the Proposed DP4T RF CMOS Switch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Circuits Syst. Comput. ![In: J. Circuits Syst. Comput. 21(4), 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
26 | Krishnendu Roy, Ramachandran Vaidyanathan, Jerry L. Trahan |
Input-queued switches with logarithmic delay: necessary conditions and a reconfigurable scheduling algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ANCS ![In: Proceedings of the 2008 ACM/IEEE Symposium on Architecture for Networking and Communications Systems, ANCS 2008, San Jose, California, USA, November 6-7, 2008, pp. 121-122, 2008, ACM, 978-1-60558-346-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
distributed scheduling algorithm, parallel bipartite matching, reconfigurable mesh, mesh-of-trees, input-queued switch |
26 | Haitham S. Hamza, Jitender S. Deogun |
WDM optical interconnects: a balanced design approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE/ACM Trans. Netw. ![In: IEEE/ACM Trans. Netw. 15(6), pp. 1565-1578, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
wavelength exchange optical crossbar (WOC), optical interconnects, wavelength division multiplexing (WDM), Clos network, crossbar switch, wavelength converter |
26 | Si-Qing Zheng, Mei Yang |
Algorithm-Hardware Codesign of Fast Parallel Round-Robin Arbiters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 18(1), pp. 84-95, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
circuits and systems, round-robin arbiter, parallel processing, matching, Arbitration, switch scheduling |
26 | Alvaro Munoz, Sanjeev Kumar |
Effect of Unbalanced Bursty Traffic on Memory-Sharing Schemes for Internet Switching Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICN (1) ![In: Networking - ICN 2005, 4th International Conference on Networking, ReunionIsland, France, April 17-21, 2005, Proceedings, Part I, pp. 834-841, 2005, Springer, 3-540-25339-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Unbalanced bursty Traffic, Memory-Sharing Schemes, Shared Memory, Packet Switch |
26 | Tetsuya Kobayashi, Luonan Chen, Kazuyuki Aihara |
Design of Genetic Switches with Only Positive Feedback Loops. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSB ![In: 1st IEEE Computer Society Bioinformatics Conference, CSB 2002, Stanford, CA, USA, August 14-16, 2002, pp. 151-, 2002, IEEE Computer Society, 0-7695-1653-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
monotone dynamical system, stability, delay, switch, genetic network |
26 | Zhenshan Zhang, Tse-Yun Feng |
Multifunctional Optical Switches for Multistage Interconnection Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FTDCS ![In: 8th IEEE Workshop on Future Trends of Distributed Computer Systems (FTDCS 2001), 31 October, 2 November 2001, Bologna, Italy, Proceedings, pp. 62-66, 2001, IEEE Computer Society, 0-7695-1384-0. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
free-space, PLZT, broadcasting, interconnection, switch, optical, polarization, multistage |
26 | Sung-Whan Moon, Jennifer Rexford, Kang G. Shin |
Scalable Hardware Priority Queue Architectures for High-Speed Packet Switches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 49(11), pp. 1215-1227, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
VLSI, packet switch, Priority queue, real-time communications, link scheduling |
26 | Winfried Grünewald, Theo Ungerer |
Towards Extremely Fast Context Switching in a Block-Multithreaded Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROMICRO ![In: 22rd EUROMICRO Conference '96, Beyond 2000: Hardware and Software Design Strategies, September 2-5, 1996, Prague, Czech Republic, pp. 592-599, 1996, IEEE Computer Society, 0-8186-7487-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
extremely fast context switching, block-multithreaded processor, fast context switch, Rhamma, off-chip cache, workstation environment, memory cycle time, latencies, synchronisation, memory accesses, functional unit, synchronization operations |
26 | Miklos Kozlovszky, Tibor Berceli, Viktor Kozlovszky |
NSOSS: the non-synchronized optical switch simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VALUETOOLS ![In: Proceedings of the 2nd International Conference on Performance Evaluation Methodolgies and Tools, VALUETOOLS 2007, Nantes, France, October 22-27, 2007, pp. 15, 2007, ICST/ACM, 978-963-9799-00-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
DWDM, all-optical packet switch, discrete event simulation |
26 | Francis M. David, Jeffrey C. Carlyle, Roy H. Campbell |
Context switch overheads for Linux on ARM platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Experimental Computer Science ![In: Proceedings of the Workshop on Experimental Computer Science, Part of ACM FCRC, San Diego, CA, USA, 13-14 June 2007, pp. 3, 2007, ACM, 978-1-59593-751-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
context switch overhead, operating system |
26 | Jenhui Chen, Ai-Chun Pang, Shiann-Tsong Sheu, Hsueh-Wen Tseng |
High Performance Wireless Switch Protocol for IEEE 802.11 Wireless Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mob. Networks Appl. ![In: Mob. Networks Appl. 10(5), pp. 741-751, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
wireless, MAC, ad hoc, infrastructure, switch, CSMA/CA |
26 | David Er-El, Dror G. Feitelson |
Communication Models for a Free-Space Optical Cross-Connect Switch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 27(1), pp. 19-48, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
electroholographic switch, spanning topology, collision avoidance, circuit switching, forwarding, all-optical network, broadcast algorithm |
26 | Hongbing Fan, Yu-Liang Wu, Yao-Wen Chang |
Comment on Generic Universal Switch Blocks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 51(1), pp. 93-96, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
universal switch block design, Field programmable gate array, FPGA routing |
26 | Subhayu Basu, Indranil Sengupta 0001, Dipanwita Roy Chowdhury, Sudipta Bhawmik |
An Integrated Approach to Testing Embedded Cores and Interconnects Using Test Access Mechanism (TAM) Switch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 18(4-5), pp. 475-485, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
TAM switch, system-on-chip, interconnect testing |
26 | Apostolos Dollas, Nikolaos Aslanides, Stamatios Kavvadias, Euripides Sotiriades, Kyprianos Papademetriou, Dionisios N. Pnevmatikatos |
Rapid Prototyping of a Reusable 4x4 Active ATM Switch Core with the PCI Pamette. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE International Workshop on Rapid System Prototyping ![In: 12th IEEE International Workshop on Rapid System Prototyping (RSP 2001), 25-27 June 2001, Monterey, CA, USA, pp. 17-23, 2001, IEEE Computer Society, 0-7695-1206-2. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
design core, reconfigurable computing, rapid prototyping, Active networks, ATM switch |
26 | F. Boujdaine, Z. Echchelh, Noufissa Mikou |
Performance Studies of a Priority Switching Strategy in an All Optical Packet Switch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: 26th Annual IEEE Conference on Local Computer Networks (LCN 2001), 14-16 November 2001, Tampa, Florida, USA, Proceedings, pp. 488-489, 2001, IEEE Computer Society, 0-7695-1321-2. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
All-Optical packet switch, FLBM, Priorit y switching strategy, WDM |
26 | Sangman Bak, Albert Mo Kim Cheng, Jorge Arturo Cobb, Ernst L. Leiss |
Load-Balanced Routing and Scheduling for Real-Time Traffic in Packet-Switch Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: Proceedings 27th Conference on Local Computer Networks, Tampa, Florida, USA, 8-10 November, 2000, pp. 634-643, 2000, IEEE Computer Society, 0-7695-0912-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
load-balanced routing, shortest-path routing protocols, least-laxity scheduling algorithm, scheduling, protocols, computer networks, computer networks, packet switching, routing algorithm, scheduling algorithm, buffer overflow, telecommunication network routing, telecommunication traffic, packet loss, time-delay, packet-switch networks, end-to-end delay, bottlenecks, real-time traffic, network throughput, traffic load, data packets |
26 | Jonathan C. L. Liu, Lin Xia, David Hung-Chang Du, Rose P. Tsang, Allalaghatta Pavan |
Scheduling Algorithms for A High-Speed Switch Supporting Real-Time Periodic Traffic Sources. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: Proceedings 27th Conference on Local Computer Networks, Tampa, Florida, USA, 8-10 November, 2000, pp. 686-695, 2000, IEEE Computer Society, 0-7695-0912-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
high-speed switch, real-time periodic traffic sources, fast scheduling algorithms, periodic source flows, real-time delivery requirements, data frames, FCFS algorithm, CBR flows, variable bandwidth requirements, scheduling, real-time systems, packet switching, scheduling algorithms, wide area networks, WAN, telecommunication traffic, multiplexing, mission critical systems, constant bit rate |
26 | Tadayoshi Horita, Itsuo Takanami |
Fault Tolerant Processor Arrays Based on 1 1/2-Track Switch with Generalized Spare Distributions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPAN ![In: 1999 International Symposium on Parallel Architectures, Algorithms and Networks (ISPAN '99), 23-25 June 1999, Fremantle, Australia, pp. 135-137, 1999, IEEE Computer Society, 0-7695-0231-8. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
The 1 1/2-track switch model, wefer scale integration, reconfiguration, yield enhancement, mesh-connected processor arrays |
26 | Lluís Ribas, Jordi Carrabina |
On the Reuse of Symbolic Simulation Results for Incremental Equivalence Verification of Switch-Level Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 1998 Design, Automation and Test in Europe (DATE '98), February 23-26, 1998, Le Palais des Congrès de Paris, Paris, France, pp. 624-629, 1998, IEEE Computer Society, 0-8186-8359-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
incremental simulation, switch-level circuit analysis, symbolic circuit traversal |
26 | Kuo-Pao Fan, Chung-Ta King |
Optimal Software Multicast on Wormhole Switch-based Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPAN ![In: 1997 International Symposium on Parallel Architectures, Algorithms and Networks (ISPAN '97), 18-20 December 1997, Taipei, Taiwan, pp. 433-436, 1997, IEEE Computer Society, 0-8186-8259-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
multicast, wormhole routing, switch, irregular network |
26 | Chie Dou, Ming-Der Shieh |
A CAM-Based VLSI Architecture for Shared Buffer ATM Switch with Fuzzy Controlled Buffer Management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 1996 International Conference on Computer Design (ICCD '96), VLSI in Computers and Processors, October 7-9, 1996, Austin, TX, USA, Proceedings, pp. 149-152, 1996, IEEE Computer Society, 0-8186-7554-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
Shared Buffer ATM Switch, VLSI, Fuzzy Control, Buffer Management, CAM |
26 | Sofiène Tahar, Zijian Zhou 0001, Xiaoyu Song, Eduard Cerny, Michel Langevin |
Formal Verification of an ATM Switch Fabric using Multiway Decision Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Great Lakes Symposium on VLSI ![In: 6th Great Lakes Symposium on VLSI (GLS-VLSI '96), March 22-23, 1996, Ames, IA, USA, pp. 106-111, 1996, IEEE Computer Society, 0-8186-7502-0. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
ATM Switch Fabric, Safety Property Checking, Abstract State Machines, Hardware Verification, Multiway Decision Graphs |
26 | Peter Dahlgren, Peter Lidén |
A fault model for switch-level simulation of gate-to-drain shorts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 14th IEEE VLSI Test Symposium (VTS'96), April 28 - May 1, 1996, Princeton, NJ, USA, pp. 414-421, 1996, IEEE Computer Society, 0-8186-7304-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
switch-level simulation, gate-to-drain shorts, transistor-level bridging faults, network primitive, electrical-level analysis, algorithm, fault diagnosis, fault model, iteration, integrated circuit modelling, subnetworks |
26 | Chunming Qiao, Luying Zhou |
Establishing switch-disjoint connections in stage-controlled Banyans. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPAN ![In: 1996 International Symposium on Parallel Architectures, Algorithms and Networks (ISPAN '96), June 12-14, 1996, Beijing, China, pp. 110-116, 1996, IEEE Computer Society, 0-8186-7460-1. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
switch-disjoint connections, stage-controlled Banyans, parallel algorithms, parallel algorithms, computational complexity, multiprocessor interconnection networks, Banyan networks, polynomial time complexity, photonic switching |
26 | Muh-ong Yang, GinKou Ma |
Universal Packet Time Slot - A New Paradigm of Designing an ATM Switch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: Proceedings 21st Conference on Local Computer Networks, Minneapolis, Minnesota, USA, October 13-16, 1996, pp. 468-473, 1996, IEEE Computer Society, 0-8186-7617-5. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
universal packet time slot, ATM switch design, high speed packet switching, transmission speed, lightwave technology, electronic switching device, FDM, frequency division multiplexing, bit-sliced techniques, output contention, ATM cells, asynchronous transfer mode, bandwidth, time division multiplexing, transmission line, hardware complexity, TDM, processing speed |
26 | Derek Chi-Wai Pao, S. C. Leung |
Sharing Buffer in an Input-Output Buffered ATM Switch without Scaling up Memory Bandwidth Requirement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCCN ![In: Proceedings of the 4th International Conference on Computer Communications and Networks (ICCCN '95), September 20-23, 1995, Las Vegas, Nevada, USA, pp. 339, 1995, IEEE Computer Society, 0-8186-7180-7. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
cells recirculation, ATM switch, shared buffer |
26 | Abdou Youssef, Bruce W. Arden |
Functional and Topological Relations Among Banyan Multistage Networks of Differing Switch Sizes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 4(2), pp. 235-240, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
functional relations, banyan multistage networks, switch sizes, multistage interconnection networks, MINs, optimal algorithm, topological relations, multiprocessorinterconnection networks |
26 | V. Ashok, Roger L. Costello, P. Sadayappan |
Modeling switch-level simulation using data flow. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 22nd ACM/IEEE conference on Design automation, DAC 1985, Las Vegas, Nevada, USA, 1985., pp. 637-644, 1985, ACM, 0-8186-0635-5. The full citation details ...](Pics/full.jpeg) |
1985 |
DBLP DOI BibTeX RDF |
data-driven computation, switch-level simulation, distributed processing, data-flow |
25 | Abdul Wadud, Anas Basalamah |
Corrigendum to "Performance and challenges of Bi-directional resource allocation in multi-core space division multiplexing elastic optical networks" [Opt. Switch. Netw. 46 (2022) 100685]. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Opt. Switch. Netw. ![In: Opt. Switch. Netw. 47, pp. 100720, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
25 | Jingyan Wang, Conor McArdle, Liam P. Barry |
Modelling and dimensioning of a high-radix datacentre optical packet switch with recirculating optical buffers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Opt. Switch. Netw. ![In: Opt. Switch. Netw. 23, pp. 67-81, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
25 | Wojciech Kabacinski, Marek Michalski, Mustafa Abdulsahib |
Wide-sense nonblocking elastic optical switch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Opt. Switch. Netw. ![In: Opt. Switch. Netw. 25, pp. 71-79, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
25 | M. Jorge Cardoso, Tal Arbel, Fei Gao, Bernhard Kainz, Theo van Walsum, Kuangyu Shi, Kanwal K. Bhatia, Roman Peter, Tom Vercauteren, Mauricio Reyes 0001, Adrian V. Dalca, Roland Wiest, Wiro J. Niessen, Bart J. Emmer (eds.) |
Molecular Imaging, Reconstruction and Analysis of Moving Body Organs, and Stroke Imaging and Treatment - Fifth International Workshop, CMMI 2017, Second International Workshop, RAMBO 2017, and First International Workshop, SWITCH 2017, Held in Conjunction with MICCAI 2017, Québec City, QC, Canada, September 14, 2017, Proceedings ![Search on Bibsonomy](Pics/bibsonomy.png) |
CMMI/RAMBO/SWITCH@MICCAI ![Springer, 978-3-319-67563-3 The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
25 | Lina Xu, Giles Tetteh, Mona Mustafa, Jana Lipková, Yu Zhao 0009, Marie Bieth, Patrick Ferdinand Christ, Marie Piraud, Bjoern H. Menze, Kuangyu Shi |
W-Net for Whole-Body Bone Lesion Detection on ^68 Ga-Pentixafor PET/CT Imaging of Multiple Myeloma Patients. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CMMI/RAMBO/SWITCH@MICCAI ![In: Molecular Imaging, Reconstruction and Analysis of Moving Body Organs, and Stroke Imaging and Treatment - Fifth International Workshop, CMMI 2017, Second International Workshop, RAMBO 2017, and First International Workshop, SWITCH 2017, Held in Conjunction with MICCAI 2017, Québec City, QC, Canada, September 14, 2017, Proceedings, pp. 23-30, 2017, Springer, 978-3-319-67563-3. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
25 | Lei Bi 0001, Jinman Kim, Ashnil Kumar, Dagan Feng 0001, Michael J. Fulham |
Synthesis of Positron Emission Tomography (PET) Images via Multi-channel Generative Adversarial Networks (GANs). ![Search on Bibsonomy](Pics/bibsonomy.png) |
CMMI/RAMBO/SWITCH@MICCAI ![In: Molecular Imaging, Reconstruction and Analysis of Moving Body Organs, and Stroke Imaging and Treatment - Fifth International Workshop, CMMI 2017, Second International Workshop, RAMBO 2017, and First International Workshop, SWITCH 2017, Held in Conjunction with MICCAI 2017, Québec City, QC, Canada, September 14, 2017, Proceedings, pp. 43-51, 2017, Springer, 978-3-319-67563-3. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
25 | Bartlomiej W. Papiez, Michael Brady 0001, Julia A. Schnabel |
Mass Transportation for Deformable Image Registration with Application to Lung CT. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CMMI/RAMBO/SWITCH@MICCAI ![In: Molecular Imaging, Reconstruction and Analysis of Moving Body Organs, and Stroke Imaging and Treatment - Fifth International Workshop, CMMI 2017, Second International Workshop, RAMBO 2017, and First International Workshop, SWITCH 2017, Held in Conjunction with MICCAI 2017, Québec City, QC, Canada, September 14, 2017, Proceedings, pp. 66-74, 2017, Springer, 978-3-319-67563-3. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
25 | Tiancheng He, Ramiro Pino, Bin S. Teh, Stephen T. Wong, Zhong Xue |
Dynamic Respiratory Motion Estimation Using Patch-Based Kernel-PCA Priors for Lung Cancer Radiotherapy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CMMI/RAMBO/SWITCH@MICCAI ![In: Molecular Imaging, Reconstruction and Analysis of Moving Body Organs, and Stroke Imaging and Treatment - Fifth International Workshop, CMMI 2017, Second International Workshop, RAMBO 2017, and First International Workshop, SWITCH 2017, Held in Conjunction with MICCAI 2017, Québec City, QC, Canada, September 14, 2017, Proceedings, pp. 55-65, 2017, Springer, 978-3-319-67563-3. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
25 | Anna M. M. Boers, Renan Sales Barros, Ivo G. H. Jansen, Cornelis H. Slump, Diederik W. J. Dippel, Aad van der Lugt, Wim H. van Zwam, Yvo B. W. E. M. Roos, Robert J. van Oostenbrugge, Charles B. L. M. Majoie, Henk A. Marquering |
Quantitative Collateral Grading on CT Angiography in Patients with Acute Ischemic Stroke. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CMMI/RAMBO/SWITCH@MICCAI ![In: Molecular Imaging, Reconstruction and Analysis of Moving Body Organs, and Stroke Imaging and Treatment - Fifth International Workshop, CMMI 2017, Second International Workshop, RAMBO 2017, and First International Workshop, SWITCH 2017, Held in Conjunction with MICCAI 2017, Québec City, QC, Canada, September 14, 2017, Proceedings, pp. 176-184, 2017, Springer, 978-3-319-67563-3. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
25 | Zisha Zhong, Yusung Kim 0003, John M. Buatti, Xiaodong Wu 0001 |
3D Alpha Matting Based Co-segmentation of Tumors on PET-CT Images. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CMMI/RAMBO/SWITCH@MICCAI ![In: Molecular Imaging, Reconstruction and Analysis of Moving Body Organs, and Stroke Imaging and Treatment - Fifth International Workshop, CMMI 2017, Second International Workshop, RAMBO 2017, and First International Workshop, SWITCH 2017, Held in Conjunction with MICCAI 2017, Québec City, QC, Canada, September 14, 2017, Proceedings, pp. 31-42, 2017, Springer, 978-3-319-67563-3. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
25 | Sila Kurugol, Bahram Marami, Onur Afacan, Simon K. Warfield, Ali Gholipour |
Motion-Robust Spatially Constrained Parameter Estimation in Renal Diffusion-Weighted MRI by 3D Motion Tracking and Correction of Sequential Slices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CMMI/RAMBO/SWITCH@MICCAI ![In: Molecular Imaging, Reconstruction and Analysis of Moving Body Organs, and Stroke Imaging and Treatment - Fifth International Workshop, CMMI 2017, Second International Workshop, RAMBO 2017, and First International Workshop, SWITCH 2017, Held in Conjunction with MICCAI 2017, Québec City, QC, Canada, September 14, 2017, Proceedings, pp. 75-85, 2017, Springer, 978-3-319-67563-3. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
25 | Bram Ruijsink, Esther Puyol-Antón, Muhammad Usman 0014, Joshua van Amerom, Phuoc Duong, Mari Nieves Velasco Forte, Kuberan Pushparajah, Alessandra Frigiola, David A. Nordsletten, Andrew P. King, Reza Razavi |
Semi-automatic Cardiac and Respiratory Gated MRI for Cardiac Assessment During Exercise. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CMMI/RAMBO/SWITCH@MICCAI ![In: Molecular Imaging, Reconstruction and Analysis of Moving Body Organs, and Stroke Imaging and Treatment - Fifth International Workshop, CMMI 2017, Second International Workshop, RAMBO 2017, and First International Workshop, SWITCH 2017, Held in Conjunction with MICCAI 2017, Québec City, QC, Canada, September 14, 2017, Proceedings, pp. 86-95, 2017, Springer, 978-3-319-67563-3. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
25 | Manon L. Tolhuisen, J. Enthoven, E. M. M. Santos, Wiro J. Niessen, L. F. M. Beenen, Diederik W. J. Dippel, Aad van der Lugt, Wim H. van Zwam, Yvo B. W. E. M. Roos, Robert J. van Oostenbrugge, Charles B. L. M. Majoie, Henk A. Marquering |
The Effect of Non-contrast CT Slice Thickness on Thrombus Density and Perviousness Assessment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CMMI/RAMBO/SWITCH@MICCAI ![In: Molecular Imaging, Reconstruction and Analysis of Moving Body Organs, and Stroke Imaging and Treatment - Fifth International Workshop, CMMI 2017, Second International Workshop, RAMBO 2017, and First International Workshop, SWITCH 2017, Held in Conjunction with MICCAI 2017, Québec City, QC, Canada, September 14, 2017, Proceedings, pp. 168-175, 2017, Springer, 978-3-319-67563-3. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
25 | Yuntao Yu, Pierre Decazes, Isabelle Gardin, Pierre Vera, Su Ruan |
3D Lymphoma Segmentation in PET/CT Images Based on Fully Connected CRFs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CMMI/RAMBO/SWITCH@MICCAI ![In: Molecular Imaging, Reconstruction and Analysis of Moving Body Organs, and Stroke Imaging and Treatment - Fifth International Workshop, CMMI 2017, Second International Workshop, RAMBO 2017, and First International Workshop, SWITCH 2017, Held in Conjunction with MICCAI 2017, Québec City, QC, Canada, September 14, 2017, Proceedings, pp. 3-12, 2017, Springer, 978-3-319-67563-3. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
Displaying result #201 - #300 of 9752 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ >>] |
|