The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for switch with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1969 (15) 1970-1976 (18) 1977-1981 (18) 1982-1983 (19) 1984 (19) 1985 (27) 1986 (27) 1987 (32) 1988 (59) 1989 (42) 1990 (56) 1991 (78) 1992 (84) 1993 (75) 1994 (100) 1995 (141) 1996 (124) 1997 (173) 1998 (152) 1999 (176) 2000 (216) 2001 (203) 2002 (258) 2003 (306) 2004 (340) 2005 (472) 2006 (549) 2007 (516) 2008 (529) 2009 (376) 2010 (242) 2011 (229) 2012 (235) 2013 (276) 2014 (289) 2015 (331) 2016 (314) 2017 (356) 2018 (365) 2019 (383) 2020 (364) 2021 (346) 2022 (366) 2023 (365) 2024 (91)
Publication types (Num. hits)
article(4054) data(3) incollection(14) inproceedings(5646) phdthesis(34) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4695 occurrences of 2325 keywords

Results
Found 9752 publication records. Showing 9752 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
30Jason Helge Anderson, Farid N. Najm Low-power programmable routing circuitry for FPGAs. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Deng Pan, Yuanyuan Yang FIFO Based Multicast Scheduling Algorithm for VOQ Packet Switches. Search on Bibsonomy ICPP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Marco Ajmone Marsan, Andrea Bianco, Paolo Giaccone, Emilio Leonardi, Fabio Neri Multicast traffic in input-queued switches: optimal scheduling and maximum throughput. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF scheduling, switching, input queued switches, multicast traffic
30Alexander Kesselman, Adi Rosén Scheduling policies for CIOQ switches. Search on Bibsonomy SPAA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF CIOQ switches, competitive analysis, buffer management, scheduling policies
30Ahmed Aslam, Kenneth J. Christensen Parallel Packet Switching Using Multiplexors with Virtual Input Queues. Search on Bibsonomy LCN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30John W. Lockwood, Jonathan S. Turner, David E. Taylor Field programmable port extender (FPX) for distributed routing and queuing. Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Winfried Grünewald, Theo Ungerer A Multithreaded Processor Designed for Distributed Shared Memory Systems. Search on Bibsonomy APDC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
30Yao-Wen Chang, Shashidhar Thakur, Kai Zhu 0001, D. F. Wong 0001 A new global routing algorithm for FPGAs. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
29Chuan-Ching Sue An Enhanced Universal N x N Fully Nonblocking Quantum Switch. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Ahmad Sinjari, Sazzadur Chowdhury A Single-Pole-Triple-Throw (SP3T) MEMS RF switch for 24 GHz short range radar. Search on Bibsonomy EIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Bastian Katz, Ignaz Rutter, Gerhard J. Woeginger An Algorithmic Study of Switch Graphs. Search on Bibsonomy WG The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Klaus Reinhardt The Simple Reachability Problem in Switch Graphs. Search on Bibsonomy SOFSEM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Rene L. Cruz, Saleh Al-Harthi A service-curve framework for packet scheduling with switch configuration delays. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ballast packet, convoy, convoy buffer, scheduling, quality of service (QoS), MEMS, network calculus, optical packet switching
29Jeongwon Cha, Minsik Ahn, Changhyuk Cho, Chang-Ho Lee, Joy Laskar A charge-pump based 0.35µm CMOS RF switch driver for multi-standard operations. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Malla Reddy Perati, L. P. Raj Kumar, Kumar K. Sampath, Shou-Kuo Shao Analytical model for the switch handling self-similar traffic with variable packet length. Search on Bibsonomy ICON The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29François Abel, Cyriel Minkenberg, Ilias Iliadis, Antonius P. J. Engbersen, Mitchell Gusat, Ferdinand Gramsamer, Ronald P. Luijten Design issues in next-generation merchant switch fabrics. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF combined Input and crosspoint Queueing (CICQ), interconnection networks, packet switching, buffered crossbar
29Lei Wang, Junyan Ren, Wenjing Yin, Tingqian Chen, Jun Xu 0011 A High-Speed High-Resolution Low-Distortion CMOS Bootstrapped Switch. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Mustafa Acar, Anne-Johan Annema, Bram Nauta Analytical Design Equations for Class-E Power Amplifiers with Finite DC-Feed Inductance and Switch On-Resistance. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Klaus Steinhammer, Petr Grillinger, Astrit Ademaj, Hermann Kopetz A time-triggered ethernet (TTE) switch. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Chang-Ching Wu, A. Yen, Jen-Chung Chang A 0.13µm CMOS T/R switch design for ultrawideband wireless applications. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Yunfeng Peng, Derui Kong, Feng Zhou A Low-Voltage Sampling Switch with Improved Linearity. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Byung-Joo Hong, Koon-Shik Cho, Seung-Hyun Kang, Suk-Yoon Lee, Jun Dong Cho On the Configurable Multiprocessor SoC Platform with Crossbar Switch. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Yufeng Li 0002, Han Qiu 0004, Julong Lan, Jianwen Yang Analysis of the Centralized Algorithm and the Distributed Algorithm for Parallel Packet Switch. Search on Bibsonomy PDCAT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Srikanth Arekapudi, Shang-Tse Chuang, Isaac Keslassy, Nick McKeown Using Hardware to Configure a Load-Balanced Switch. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29K. M. Naegle, Subhanshu Gupta, David J. Allstot Design considerations for a 10 GHz CMOS transmit-receive switch. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Boris Trouvain, Christopher M. Schlick A study of audio and visual context switch indicators in a multirobot navigation task. Search on Bibsonomy SMC (3) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Xiaofeng Hu, Zhigang Sun, Xicheng Lu, Jinshu Su Using Split Queues to Improve the Performance of Parallel Switch. Search on Bibsonomy APPT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Deming Liu, Yann-Hang Lee An Efficient Switch Design for Scheduling Real-Time Multicast Traffic. Search on Bibsonomy RTCSA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Earliest Deadline First Round Robin, Quality of Service, Multicasting, Real-Time Communications, Packet Switching Network
29Partha Pratim Pande, Cristian Grecu, André Ivanov, Res Saleh Design of a switch for network on chip applications. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Qiang Duan, Xinghe Li, Linjie Zhang Delay Performance Analysis for the Buffered Crossbar Switch . Search on Bibsonomy AINA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Tsern-Huei Lee, Ying-Che Kuo Performance Evaluation of Combined Input Output Queued Switch with Finite Input and Output Buffers. Search on Bibsonomy ICOIN (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Hongbing Fan, Jiping Liu, Yu-Liang Wu Combinatorial routing analysis and design of universal switch blocks. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Peter Homan, Janez Bester FPCF Input-Queued Packet Switch for Variable-Size Packets. Search on Bibsonomy ICN (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Marius Pirvu, Laxmi N. Bhuyan, Nan Ni The Impact of Link Arbitration on Switch Performance. Search on Bibsonomy HPCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Robert Chen-Hao Chang, Lung-Chih Kuo, Chih-Yuan Hsieh VLSI implementation of a multicast ATM switch. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Deni Torres, J. Gonzalez, Manuel Guzman, L. Nuñez A new bus assignment in a designed shared bus switch fabric. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29D. Shmilovitz, Dariusz Czarkowski, Zivan Zabar New switch-mode topology for VAR compensation. Search on Bibsonomy ISCAS (5) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Patrice Godefroid, Robert S. Hanmer, Lalita Jategaonkar Jagadeesan Model Checking Without a Model: An Analysis of the Heart-Beat Monitor of a Telephone Switch Using VeriSoft. Search on Bibsonomy ISSTA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
29Sandeep Agarwal, Fayez El Guibaly Modeling of Shift Register-based ATM Switch. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
29Sreeranga P. Rajan, Masahiro Fujita Integration of High-Level Modeling, Formal Verification, and High-Level Synthesis in ATM Switch Design. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Modeling, Formal Verification, ATM, Validation, High-Level Synthesis, VHDL
29G. Andrew Boughton Arctic Switch Fabric. Search on Bibsonomy PCRCW The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
29Ram Kesavan, Kiran Bondalapati, Dhabaleswar K. Panda 0001 Multicast on Irregular Switch-Based Networks with Wormhole Routing. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Link Contention and Networks of Workstations, Multicast, Broadcast, Wormhole Routing, Collective communication, Irregular Networks
29A. Sriram, Fadi J. Kurdahi Behavioral Modeling of an ATM Switch using SpecCharts. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
29Wolfgang Meyer 0002, Raul Camposano Active timing multilevel fault-simulation with switch-level accuracy. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
29Derek C. W. Pao, W. N. Chau Design of ATM switch using hypercube with distributed shared input buffers and dedicated output buffers. Search on Bibsonomy ICNP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
29Lawrence P. Huang, Randal E. Bryant Intractability in linear switch-level simulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
29Dan Adler A Dynamically-Directed Switch Model for MOS Logic Simulation. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
29Rochit Rajsuman, Yashwant K. Malaiya, Anura P. Jayasumana On Accuracy of Switch-Level Modeling of Bridging Faults in Complex Gates. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
29Joseph Mazzetti Design considerations for electronic funds transfer switch system development. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
28Heiko Zimmer, Axel Jantsch A fault model notation and error-control scheme for switch-to-switch buses in a network-on-chip. Search on Bibsonomy CODES+ISSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF fault tolerance, network-on-chip, bus encoding
28Juan José Jaramillo, Fabio Milan, R. Srikant 0001 Padded frames: a novel algorithm for stable scheduling in load-balanced switches. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Birkhoff-von Neumann switch, load-balanced switch, scheduling
28María Blanca Caminero, Carmen Carrión 0001, Francisco J. Quiles 0001, José Duato, Sudhakar Yalamanchili Traffic Scheduling Solutions with QoS Support for an Input-Buffered MultiMedia Router. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF link/switch scheduling, Quality of Service (QoS), LANs, switch architecture, cluster networks
28Olav Lysne Deadlock Avoidance for Switches Based on Wormhole Networks. Search on Bibsonomy ICPP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Inter-switch deadlocks, Interconnect networks, Wormhole routing, Deadlock avoidance, Switch fabric
27Jingmei Zhang, Yi Pang, Kai Wang, Dongxing Xu, Lei Pan 0006 Modulation Method for Nine-Switch Converter Based on Equivalent Mechanism Between Nine-Switch Converter and Dual Six-Switch Converters. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
27Jussi Nykänen, Virpi Kristiina Tuunainen, Tuure Tuunanen, Fiona Nah Three Stages of Consumers' Multi-Stage Dichotomic Switching Process. Pre-Switch, switch, and post-switch. Search on Bibsonomy Scand. J. Inf. Syst. The full citation details ... 2021 DBLP  BibTeX  RDF
27Kaoru Nashiro, Shuo Qin, Margaret A. O'Connell, Chandramallika Basak Age-related differences in BOLD modulation to cognitive control costs in a multitasking paradigm: Global switch, local switch, and compatibility-switch costs. Search on Bibsonomy NeuroImage The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
27Viranjay M. Srivastava, Kalyan S. Yadav, Ghanshyam Singh Analysis of drain Current and Switching Speed for SPDT Switch and Dpdt Switch with the Proposed DP4T RF CMOS Switch. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Krishnendu Roy, Ramachandran Vaidyanathan, Jerry L. Trahan Input-queued switches with logarithmic delay: necessary conditions and a reconfigurable scheduling algorithm. Search on Bibsonomy ANCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF distributed scheduling algorithm, parallel bipartite matching, reconfigurable mesh, mesh-of-trees, input-queued switch
26Haitham S. Hamza, Jitender S. Deogun WDM optical interconnects: a balanced design approach. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF wavelength exchange optical crossbar (WOC), optical interconnects, wavelength division multiplexing (WDM), Clos network, crossbar switch, wavelength converter
26Si-Qing Zheng, Mei Yang Algorithm-Hardware Codesign of Fast Parallel Round-Robin Arbiters. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF circuits and systems, round-robin arbiter, parallel processing, matching, Arbitration, switch scheduling
26Alvaro Munoz, Sanjeev Kumar Effect of Unbalanced Bursty Traffic on Memory-Sharing Schemes for Internet Switching Architecture. Search on Bibsonomy ICN (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Unbalanced bursty Traffic, Memory-Sharing Schemes, Shared Memory, Packet Switch
26Tetsuya Kobayashi, Luonan Chen, Kazuyuki Aihara Design of Genetic Switches with Only Positive Feedback Loops. Search on Bibsonomy CSB The full citation details ... 2002 DBLP  DOI  BibTeX  RDF monotone dynamical system, stability, delay, switch, genetic network
26Zhenshan Zhang, Tse-Yun Feng Multifunctional Optical Switches for Multistage Interconnection Networks. Search on Bibsonomy FTDCS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF free-space, PLZT, broadcasting, interconnection, switch, optical, polarization, multistage
26Sung-Whan Moon, Jennifer Rexford, Kang G. Shin Scalable Hardware Priority Queue Architectures for High-Speed Packet Switches. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF VLSI, packet switch, Priority queue, real-time communications, link scheduling
26Winfried Grünewald, Theo Ungerer Towards Extremely Fast Context Switching in a Block-Multithreaded Processor. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF extremely fast context switching, block-multithreaded processor, fast context switch, Rhamma, off-chip cache, workstation environment, memory cycle time, latencies, synchronisation, memory accesses, functional unit, synchronization operations
26Miklos Kozlovszky, Tibor Berceli, Viktor Kozlovszky NSOSS: the non-synchronized optical switch simulator. Search on Bibsonomy VALUETOOLS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF DWDM, all-optical packet switch, discrete event simulation
26Francis M. David, Jeffrey C. Carlyle, Roy H. Campbell Context switch overheads for Linux on ARM platforms. Search on Bibsonomy Experimental Computer Science The full citation details ... 2007 DBLP  DOI  BibTeX  RDF context switch overhead, operating system
26Jenhui Chen, Ai-Chun Pang, Shiann-Tsong Sheu, Hsueh-Wen Tseng High Performance Wireless Switch Protocol for IEEE 802.11 Wireless Networks. Search on Bibsonomy Mob. Networks Appl. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF wireless, MAC, ad hoc, infrastructure, switch, CSMA/CA
26David Er-El, Dror G. Feitelson Communication Models for a Free-Space Optical Cross-Connect Switch. Search on Bibsonomy J. Supercomput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF electroholographic switch, spanning topology, collision avoidance, circuit switching, forwarding, all-optical network, broadcast algorithm
26Hongbing Fan, Yu-Liang Wu, Yao-Wen Chang Comment on Generic Universal Switch Blocks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF universal switch block design, Field programmable gate array, FPGA routing
26Subhayu Basu, Indranil Sengupta 0001, Dipanwita Roy Chowdhury, Sudipta Bhawmik An Integrated Approach to Testing Embedded Cores and Interconnects Using Test Access Mechanism (TAM) Switch. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF TAM switch, system-on-chip, interconnect testing
26Apostolos Dollas, Nikolaos Aslanides, Stamatios Kavvadias, Euripides Sotiriades, Kyprianos Papademetriou, Dionisios N. Pnevmatikatos Rapid Prototyping of a Reusable 4x4 Active ATM Switch Core with the PCI Pamette. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2001 DBLP  DOI  BibTeX  RDF design core, reconfigurable computing, rapid prototyping, Active networks, ATM switch
26F. Boujdaine, Z. Echchelh, Noufissa Mikou Performance Studies of a Priority Switching Strategy in an All Optical Packet Switch. Search on Bibsonomy LCN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF All-Optical packet switch, FLBM, Priorit y switching strategy, WDM
26Sangman Bak, Albert Mo Kim Cheng, Jorge Arturo Cobb, Ernst L. Leiss Load-Balanced Routing and Scheduling for Real-Time Traffic in Packet-Switch Networks. Search on Bibsonomy LCN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF load-balanced routing, shortest-path routing protocols, least-laxity scheduling algorithm, scheduling, protocols, computer networks, computer networks, packet switching, routing algorithm, scheduling algorithm, buffer overflow, telecommunication network routing, telecommunication traffic, packet loss, time-delay, packet-switch networks, end-to-end delay, bottlenecks, real-time traffic, network throughput, traffic load, data packets
26Jonathan C. L. Liu, Lin Xia, David Hung-Chang Du, Rose P. Tsang, Allalaghatta Pavan Scheduling Algorithms for A High-Speed Switch Supporting Real-Time Periodic Traffic Sources. Search on Bibsonomy LCN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF high-speed switch, real-time periodic traffic sources, fast scheduling algorithms, periodic source flows, real-time delivery requirements, data frames, FCFS algorithm, CBR flows, variable bandwidth requirements, scheduling, real-time systems, packet switching, scheduling algorithms, wide area networks, WAN, telecommunication traffic, multiplexing, mission critical systems, constant bit rate
26Tadayoshi Horita, Itsuo Takanami Fault Tolerant Processor Arrays Based on 1 1/2-Track Switch with Generalized Spare Distributions. Search on Bibsonomy ISPAN The full citation details ... 1999 DBLP  DOI  BibTeX  RDF The 1 1/2-track switch model, wefer scale integration, reconfiguration, yield enhancement, mesh-connected processor arrays
26Lluís Ribas, Jordi Carrabina On the Reuse of Symbolic Simulation Results for Incremental Equivalence Verification of Switch-Level Circuits. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF incremental simulation, switch-level circuit analysis, symbolic circuit traversal
26Kuo-Pao Fan, Chung-Ta King Optimal Software Multicast on Wormhole Switch-based Networks. Search on Bibsonomy ISPAN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF multicast, wormhole routing, switch, irregular network
26Chie Dou, Ming-Der Shieh A CAM-Based VLSI Architecture for Shared Buffer ATM Switch with Fuzzy Controlled Buffer Management. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Shared Buffer ATM Switch, VLSI, Fuzzy Control, Buffer Management, CAM
26Sofiène Tahar, Zijian Zhou 0001, Xiaoyu Song, Eduard Cerny, Michel Langevin Formal Verification of an ATM Switch Fabric using Multiway Decision Graphs. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF ATM Switch Fabric, Safety Property Checking, Abstract State Machines, Hardware Verification, Multiway Decision Graphs
26Peter Dahlgren, Peter Lidén A fault model for switch-level simulation of gate-to-drain shorts. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF switch-level simulation, gate-to-drain shorts, transistor-level bridging faults, network primitive, electrical-level analysis, algorithm, fault diagnosis, fault model, iteration, integrated circuit modelling, subnetworks
26Chunming Qiao, Luying Zhou Establishing switch-disjoint connections in stage-controlled Banyans. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF switch-disjoint connections, stage-controlled Banyans, parallel algorithms, parallel algorithms, computational complexity, multiprocessor interconnection networks, Banyan networks, polynomial time complexity, photonic switching
26Muh-ong Yang, GinKou Ma Universal Packet Time Slot - A New Paradigm of Designing an ATM Switch. Search on Bibsonomy LCN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF universal packet time slot, ATM switch design, high speed packet switching, transmission speed, lightwave technology, electronic switching device, FDM, frequency division multiplexing, bit-sliced techniques, output contention, ATM cells, asynchronous transfer mode, bandwidth, time division multiplexing, transmission line, hardware complexity, TDM, processing speed
26Derek Chi-Wai Pao, S. C. Leung Sharing Buffer in an Input-Output Buffered ATM Switch without Scaling up Memory Bandwidth Requirement. Search on Bibsonomy ICCCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF cells recirculation, ATM switch, shared buffer
26Abdou Youssef, Bruce W. Arden Functional and Topological Relations Among Banyan Multistage Networks of Differing Switch Sizes. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF functional relations, banyan multistage networks, switch sizes, multistage interconnection networks, MINs, optimal algorithm, topological relations, multiprocessorinterconnection networks
26V. Ashok, Roger L. Costello, P. Sadayappan Modeling switch-level simulation using data flow. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF data-driven computation, switch-level simulation, distributed processing, data-flow
25Abdul Wadud, Anas Basalamah Corrigendum to "Performance and challenges of Bi-directional resource allocation in multi-core space division multiplexing elastic optical networks" [Opt. Switch. Netw. 46 (2022) 100685]. Search on Bibsonomy Opt. Switch. Netw. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
25Jingyan Wang, Conor McArdle, Liam P. Barry Modelling and dimensioning of a high-radix datacentre optical packet switch with recirculating optical buffers. Search on Bibsonomy Opt. Switch. Netw. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Wojciech Kabacinski, Marek Michalski, Mustafa Abdulsahib Wide-sense nonblocking elastic optical switch. Search on Bibsonomy Opt. Switch. Netw. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25M. Jorge Cardoso, Tal Arbel, Fei Gao, Bernhard Kainz, Theo van Walsum, Kuangyu Shi, Kanwal K. Bhatia, Roman Peter, Tom Vercauteren, Mauricio Reyes 0001, Adrian V. Dalca, Roland Wiest, Wiro J. Niessen, Bart J. Emmer (eds.) Molecular Imaging, Reconstruction and Analysis of Moving Body Organs, and Stroke Imaging and Treatment - Fifth International Workshop, CMMI 2017, Second International Workshop, RAMBO 2017, and First International Workshop, SWITCH 2017, Held in Conjunction with MICCAI 2017, Québec City, QC, Canada, September 14, 2017, Proceedings Search on Bibsonomy CMMI/RAMBO/SWITCH@MICCAI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Lina Xu, Giles Tetteh, Mona Mustafa, Jana Lipková, Yu Zhao 0009, Marie Bieth, Patrick Ferdinand Christ, Marie Piraud, Bjoern H. Menze, Kuangyu Shi W-Net for Whole-Body Bone Lesion Detection on ^68 Ga-Pentixafor PET/CT Imaging of Multiple Myeloma Patients. Search on Bibsonomy CMMI/RAMBO/SWITCH@MICCAI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Lei Bi 0001, Jinman Kim, Ashnil Kumar, Dagan Feng 0001, Michael J. Fulham Synthesis of Positron Emission Tomography (PET) Images via Multi-channel Generative Adversarial Networks (GANs). Search on Bibsonomy CMMI/RAMBO/SWITCH@MICCAI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Bartlomiej W. Papiez, Michael Brady 0001, Julia A. Schnabel Mass Transportation for Deformable Image Registration with Application to Lung CT. Search on Bibsonomy CMMI/RAMBO/SWITCH@MICCAI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Tiancheng He, Ramiro Pino, Bin S. Teh, Stephen T. Wong, Zhong Xue Dynamic Respiratory Motion Estimation Using Patch-Based Kernel-PCA Priors for Lung Cancer Radiotherapy. Search on Bibsonomy CMMI/RAMBO/SWITCH@MICCAI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Anna M. M. Boers, Renan Sales Barros, Ivo G. H. Jansen, Cornelis H. Slump, Diederik W. J. Dippel, Aad van der Lugt, Wim H. van Zwam, Yvo B. W. E. M. Roos, Robert J. van Oostenbrugge, Charles B. L. M. Majoie, Henk A. Marquering Quantitative Collateral Grading on CT Angiography in Patients with Acute Ischemic Stroke. Search on Bibsonomy CMMI/RAMBO/SWITCH@MICCAI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Zisha Zhong, Yusung Kim 0003, John M. Buatti, Xiaodong Wu 0001 3D Alpha Matting Based Co-segmentation of Tumors on PET-CT Images. Search on Bibsonomy CMMI/RAMBO/SWITCH@MICCAI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Sila Kurugol, Bahram Marami, Onur Afacan, Simon K. Warfield, Ali Gholipour Motion-Robust Spatially Constrained Parameter Estimation in Renal Diffusion-Weighted MRI by 3D Motion Tracking and Correction of Sequential Slices. Search on Bibsonomy CMMI/RAMBO/SWITCH@MICCAI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Bram Ruijsink, Esther Puyol-Antón, Muhammad Usman 0014, Joshua van Amerom, Phuoc Duong, Mari Nieves Velasco Forte, Kuberan Pushparajah, Alessandra Frigiola, David A. Nordsletten, Andrew P. King, Reza Razavi Semi-automatic Cardiac and Respiratory Gated MRI for Cardiac Assessment During Exercise. Search on Bibsonomy CMMI/RAMBO/SWITCH@MICCAI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Manon L. Tolhuisen, J. Enthoven, E. M. M. Santos, Wiro J. Niessen, L. F. M. Beenen, Diederik W. J. Dippel, Aad van der Lugt, Wim H. van Zwam, Yvo B. W. E. M. Roos, Robert J. van Oostenbrugge, Charles B. L. M. Majoie, Henk A. Marquering The Effect of Non-contrast CT Slice Thickness on Thrombus Density and Perviousness Assessment. Search on Bibsonomy CMMI/RAMBO/SWITCH@MICCAI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Yuntao Yu, Pierre Decazes, Isabelle Gardin, Pierre Vera, Su Ruan 3D Lymphoma Segmentation in PET/CT Images Based on Fully Connected CRFs. Search on Bibsonomy CMMI/RAMBO/SWITCH@MICCAI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 9752 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license