The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase time-to-market (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1995 (17) 1996-1997 (20) 1998 (26) 1999 (34) 2000 (37) 2001 (51) 2002 (61) 2003 (62) 2004 (89) 2005 (94) 2006 (94) 2007 (95) 2008 (73) 2009 (52) 2010-2013 (15) 2014-2019 (17) 2022-2024 (10)
Publication types (Num. hits)
article(147) incollection(1) inproceedings(696) phdthesis(3)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 849 occurrences of 591 keywords

Results
Found 847 publication records. Showing 847 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
6Etienne Closse, Michel Poize, Jacques Pulou, Joseph Sifakis, Patrick Venier, Daniel Weil, Sergio Yovine TAXYS: A Tool for the Development and Verification of Real-Time Embedded Systems. Search on Bibsonomy CAV The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
6Pao-Ann Hsiung Formal synthesis and code generation of embedded real-time software. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF embedded real-time software, scheduling, Petri Nets, code generation
6Shubhankar Basu, Balaji Kommineni, Ranga Vemuri Variation-Aware Macromodeling and Synthesis of Analog Circuits Using Spline Center and Range Method and Dynamically Reduced Design Space. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
6Jozef Hooman, Teun Hendriks Model-Based Run-Time Error Detection. Search on Bibsonomy MoDELS (Workshops) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF models, reliability, embedded systems, error detection, run-time verification
6Shih-Wei Liao, Shih-Hao Hung, Chia-Heng Tu, Jen-Hao Chen Scalable Lossless High Definition Image Coding on Multicore Platforms. Search on Bibsonomy EUC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Multicore SoC, Image Decompress, Embedded System, Parallelization, Image Compress, JPEG2000, Lossless, Digital Cinema
6Ian Kuon, Aaron Egier, Jonathan Rose Design, layout and verification of an FPGA using automated tools. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF FPGA, programmable logic, PLD, automatic layout
6Fabiano Hessel, Vitor M. da Rosa, Igor M. Reis, Ricardo Planner, César A. M. Marcon, Altamiro Amadeu Susin Abstract RTOS Modeling for Embedded Systems. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
6Pao-Ann Hsiung, Trong-Yen Lee, Win-Bin See, Jih-Ming Fu, Sao-Jie Chen VERTAF: An Object-Oriented Application Framework for Embedded Real-Time Systems. Search on Bibsonomy Symposium on Object-Oriented Real-Time Distributed Computing The full citation details ... 2002 DBLP  DOI  BibTeX  RDF object-oriented, formal verification, code generation, software component, embedded real-time systems
6Ernst Kesseler Deploying Networked Real-Time Simulation, Putting the Virtual Enterprise to Work Some Aerospace Experiences. Search on Bibsonomy HICSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
5Mehrdad Reshadi, Prabhat Mishra 0001, Nikil D. Dutt Hybrid-compiled simulation: An efficient technique for instruction-set architecture simulation. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF interpretive simulation, partial evaluation, instruction set architecture, Compiled simulation
5Ana Carina M. Almeida, Renata M. C. R. Souza, Gibeon Aquino, Silvio Romero de Lemos Meira Effort Drivers Estimation for Brazilian Geographically Distributed Software Development. Search on Bibsonomy SEAFOOD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Effort Driver, Estimation, Distributed Software Development
5Yoshiyuki Nakamura, Thomas Clouqueur, Kewal K. Saluja, Hideo Fujiwara Diagnosing At-Speed Scan BIST Circuits Using a Low Speed and Low Memory Tester. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
5Elias Teodoro Silva Jr., Flávio Rech Wagner, Edison Pignaton de Freitas, Carlos Eduardo Pereira Hardware support in a middleware for distributed and real-time embedded applications. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF real-time systems, middleware, energy efficiency, MPSoCs, embedded applications
5Brian Lee 0001, Donal O'Mahony Policy Based Charging in Multimedia Networks. Search on Bibsonomy MMNS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
5Hua Xiang 0001, Kai-Yuan Chao, Martin D. F. Wong Exact Algorithms for Coupling Capacitance Minimization by Adding One Metal Layer. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Layer migration, Max-cut, Capacitance coupling
5Yu Huang 0005, Wu-Tung Cheng, Cheng-Ju Hsieh, Huan-Yung Tseng, Alou Huang, Yu-Ting Hung Efficient Diagnosis for Multiple Intermittent Scan Chain Hold-Time Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
5Mehrdad Reshadi, Prabhat Mishra 0001, Nikil D. Dutt Instruction set compiled simulation: a technique for fast and flexible instruction set simulation. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF instruction abstraction, interpretive simulation, instruction set architectures, compiled simulation
5Jeonghun Cho, Jinhwan Kim, Yunheung Paek A Study on Data Allocation of On-Chip Dual Memory Banks. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
5Keith S. Vallerio, Niraj K. Jha Task graph transformation to aid system synthesis. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
5Josef Weingärtner Product Family Engineering and Testing in the Medical Domain - Validation Aspects. Search on Bibsonomy PFE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
5Rahul Chaubey, J. K. Suresh Integration vs. Development : An Engineering Approach to Building Web Applications. Search on Bibsonomy Australian Software Engineering Conference The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
5Peter O. Müller, Christian Stich, Christian Zeidler Components @ Work: Component Technology for Embedded Systems. Search on Bibsonomy EUROMICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
5Mohammed El Shobaki Verification of Embedded Real-Time Systems Using Hardware/Software Cosimulation. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
5Ananta K. Majhi, Vishwani D. Agrawal Mixed-Signal Test. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF a survey of mixed-signal testing, tools and methods for mixed-signal test, Analog test
5Pramodchandran N. Variyam, Abhijit Chatterjee Test generation for comprehensive testing of linear analog circuits using transient response sampling. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Implicit functional testing, Transient testing, Linear Analog Circuits
5Louise T. Lemaire GAMMA: a fast prototype design, build, and test process. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
5Nan Wu 0003, Mei Wen, Wei Wu, Ju Ren 0002, Huayou Su, Changqing Xun, Chunyuan Zhang Streaming HD H.264 encoder on programmable processors. Search on Bibsonomy ACM Multimedia The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 1080P HD, H.264 encoder, real-time, stream, programmable
5Dounia Habhouba, Alain Desrochers, Soumaya Cherkaoui Agent-based assistance for engineering change management: An implementation prototype. Search on Bibsonomy CSCWD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
5Laura M. Castro, Miguel A. Francisco, Víctor M. Gulías A Practical Methodology for Integration Testing. Search on Bibsonomy EUROCAST The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
5Unmesh D. Bordoloi, Huynh Phung Huynh, Samarjit Chakraborty, Tulika Mitra Evaluating design trade-offs in customizable processors. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-objective design space exploration, pareto-optimal curve, processor customization, ASIP
5Lucas C. Cordeiro, Carlos Mar, Eduardo Valentin, Fabiano Cruz, Daniel Patrick, Raimundo S. Barreto, Vicente Lucena An agile development methodology applied to embedded control software under stringent hardware constraints. Search on Bibsonomy ACM SIGSOFT Softw. Eng. Notes The full citation details ... 2008 DBLP  DOI  BibTeX  RDF embedded agile development, health care, agile methodologies, platform-based design, real-time software, organizational patterns
5R. Boumen, Ivo S. M. de Jong, J. W. H. Vermunt, Joanna M. van de Mortel-Fronczak, Jacobus E. Rooda Risk-Based Stopping Criteria for Test Sequencing. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part A The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
5Yongjin Ahn, Keesung Han, Ganghee Lee, Hyunjik Song, Jun-hee Yoo, Kiyoung Choi, Xingguang Feng SoCDAL: System-on-chip design AcceLerator. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF application-to-architecture mapping, static hardware/software estimation, simulation, scheduling, specification, design-space exploration, worst-case execution time, Codesign, transaction-level model, synchronous dataflow, multiprocessor system-on-chip, process networks
5Konstantin Beznosov, Brian Chess Security for the Rest of Us: An Industry Perspective on the Secure-Software Challenge. Search on Bibsonomy IEEE Softw. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
5Guillermo Talavera, Murali Jayapala, Jordi Carrabina, Francky Catthoor Address Generation Optimization for Embedded High-Performance Processors: A Survey. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF optimization, embedded, address generation
5Lucas C. Cordeiro, Carlos Mar, Eduardo Valentin, Fabiano Cruz, Daniel Patrick, Raimundo S. Barreto, Vicente Lucena A Platform-Based Software Design Methodology for Embedded Control Systems: An Agile Toolkit. Search on Bibsonomy ECBS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Embedded Agile Development, Agile methodologies, Platform-Based Design, Real-time Software
5Rama Gurram, Brian Mo, Ralf Gueldemeister A Web Based Mashup Platform for Enterprise 2.0. Search on Bibsonomy WISE Workshops The full citation details ... 2008 DBLP  DOI  BibTeX  RDF OpenAjax, SOA, Architecture, Metadata, Web 2.0, Ajax, Platform, REST, Widgets, Lightweight, DIY, RIA, Enterprise Mashup, Gadgets
5Fábio Rodrigues de la Rocha, Rômulo Silva de Oliveira An environment for the rapid development of embedded file systems. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF embedded system, file system, development tool
5George Economakos Efficient implementation of biomedical hardware using open source descriptions and behavioral synthesis. Search on Bibsonomy BIBE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
5Kari Liukkunen, Matti Eteläperä, Markku Oivo, Juha-Pekka Soininen, Mika Pellikka Virtual Prototypes in Developing Mobile Software Applications and Devices. Search on Bibsonomy PROFES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF mobile software applications, user interfaces, user experiences, virtual prototypes, virtual platform, Usability requirements
5Zhaogang Shu, Di Li 0001, Yueming Hu 0002, Feng Ye, Suhua Xiao, Jiafu Wan From Models to Code: Automatic Development Process for Embedded Control System. Search on Bibsonomy ICNSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
5Fergal McCaffery, Minna Pikkarainen, Ita Richardson Ahaa --agile, hybrid assessment method for automotive, safety critical smes. Search on Bibsonomy ICSE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF assessment methods, automotive spice, software process improvement, cmmi, safety-critical, agile practices
5Lucas C. Cordeiro, Raimundo S. Barreto, Rafael Barcelos, Meuse N. Oliveira Jr., Vicente Lucena, Paulo Romero Martins Maciel TXM: an agile HW/SW development methodology for building medical devices. Search on Bibsonomy ACM SIGSOFT Softw. Eng. Notes The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded agile development, health care, agile methodologies, platform-based design, real-time software, organizational patterns
5Luiz Kawakami, André Knabben, Douglas Rechia, Denise Gasques Bastos, Otavio Pereira, Ricardo Pereira e Silva, Luiz C. V. dos Santos An Object-Oriented Framework for Improving Software Reuse on Automated Testing of Mobile Phones. Search on Bibsonomy TestCom/FATES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Software metrics, Software reusability, Software verification
5Bita Gorjiara, Daniel Gajski FPGA-friendly code compression for horizontal microcoded custom IPs. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dictionary-based compression, microcoded architectures, no-instruction-set computer, FPGA, memory optimization
5Júlio C. B. de Mattos, Luigi Carro Object and method exploration for embedded systems applications. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF object-oriented, design space exploration, embedded software
5A. C. H. Ng, Jan-Willem Weijers, Miguel Glassee, Thomas Schuster, Bruno Bougard, Liesbet Van der Perre ESL design and HW/SW co-verification of high-end software defined radio platforms. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF verification, emulation, SDR, hardware/software co-design, ESL
5Walid A. Najjar Compiling code accelerators for FPGAs. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGA code acceleration
5Ewerson Carvalho, Ney Calazans, Fernando Moraes 0001 Heuristics for Dynamic Task Mapping in NoC-based Heterogeneous MPSoCs. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
5Nei-Chiung Perng, Shih-Hao Hung Task Scheduling for Context Minimization in Dynamically Reconfigurable Platforms. Search on Bibsonomy EUC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
5Ernesto Wandeler, Lothar Thiele, Marcel Verhoef, Paul Lieverse System architecture evaluation using modular performance analysis: a case study. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
5André C. Nácul, Tony Givargis Synthesis of time-constrained multitasking embedded software. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Code serialization, multitasking, software synthesis, real-time embedded software
5Michael Hübner 0001, Christian Schuck, Matthias Kühnle, Jürgen Becker 0001 New 2-Dimensional Partial Dynamic Reconfiguration Techniques for Real-time Adaptive Microelectronic Circuits. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
5Johan Andersson, Joel Huselius, Christer Norström, Anders Wall Extracting Simulation Models from Complex Embedded Real-Time Systems. Search on Bibsonomy ICSEA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
5Patrick Leteinturier Automotive semi-conductor trend & challenges. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
5Waseem Ahmed, Doug Myers Faster exploration of high level design alternatives using UML for better partitions. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
5Samarjit Chakraborty Flexible modelling and performance debugging of real-time embedded multimedia systems. Search on Bibsonomy ACM Multimedia The full citation details ... 2006 DBLP  DOI  BibTeX  RDF performance analysis, debugging, multimedia systems
5Glauco Borges Valim dos Santos, Marcelo de Oliveira Johann, Ricardo Augusto da Luz Reis Channel based routing in channel-less circuits. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
5Rasit Onur Topaloglu Early, Accurate and Fast Yield Estimation through Monte Carlo-Alternative Probabilistic Behavioral Analog System Simulations. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
5David Atienza, Pablo García Del Valle, Giacomo Paci, Francesco Poletti, Luca Benini, Giovanni De Micheli, Jose Manuel Mendias A fast HW/SW FPGA-based thermal emulation framework for multi-processor system-on-chip. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF thermal studies, FPGA, emulation, MPSoC
5Luciano Lavagno, Claudio Passerone, Vishal Shah, Yosinori Watanabe A Time Slice Based Scheduler Model for System Level Design. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
5Veit Rueckel, Alexander Koch 0002, Klaus Feldmann, Harald Meerkamm Process Data Management for the Shortening of the Whole Product Creation Process. Search on Bibsonomy CSCWD (Selected papers) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
5Byeongdo Kang, Young-Jik Kwon, Roger Y. Lee A Design and Test Technique for Embedded Software. Search on Bibsonomy SERA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Software Test, Software Design, Embedded Software
5Sreeram Chandrasekar, V. Visvanathan, Gaurav Kumar Varshney Application of DC Transfer Characteristics in the Elimination of Redundant Vectors for Transient Noise Characterization of Static CMOS Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
5Pierluigi Daglio, David Iezzi, Danilo Rimondi, Carlo Roma, Salvatore Santapa Building the Hierarchy from a Flat Netlist for a Fast and Accurate Post-Layout Simulation with Parasitic Components. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
5Daniel Ménard, Olivier Sentieys DSP Code Generation with Optimized Data Word-Length Selection. Search on Bibsonomy SCOPES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
5Américo Sampaio, Alexandre M. L. de Vasconcelos, Pedro R. Falcone Sampaio XWebProcess: Agile Software Development for Web Applications. Search on Bibsonomy ICWE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
5Lieven Eeckhout, Hans Vandierendonck, Koenraad De Bosschere Designing Computer Architecture Research Workloads. Search on Bibsonomy Computer The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
5Armita Peymandoust, Tajana Simunic, Giovanni De Micheli Complex instruction and software library mapping for embedded software using symbolic algebra. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
5Antonella Di Stefano, Marco Fargetta, Emiliano Tramontana Computational Reflection for Embedded Java Systems. Search on Bibsonomy OTM Workshops The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
5Jan Henry Nyström, Philip W. Trinder, David J. King Evaluating distributed functional languages for telecommunications software. Search on Bibsonomy Erlang Workshop The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
5Keith S. Vallerio, Niraj K. Jha Task Graph Extraction for Embedded System Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
5Huaxin Zhang, Eleni Stroulia Babel: An XML-Based Application Integration Framework. Search on Bibsonomy CAiSE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
5Subramanian Rajagopalan, Sreeranga P. Rajan, Sharad Malik, Sandro Rigo, Guido Araujo, Koichiro Takayama A retargetable VLIW compiler framework for DSPs withinstruction-level parallelism. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
5Frank van der Linden 0001 Introduction. Search on Bibsonomy PFE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
5Andrew B. Kahng Design technology productivity in the DSM era (invited talk). Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
5Elena Trichina, Alexander Tiountchik Scalable Algorithm for Montgomery Multiplication and Its Implementation on the Coarse-Grain Reconfigurable Chip. Search on Bibsonomy CT-RSA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
5John C. Lach, William H. Mangione-Smith, Miodrag Potkonjak Efficient error detection, localization, and correction for FPGA-based debugging. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
5Wolfgang Fleisch Applying Use Cases for the Requirements Validation of Component-Based Real-Time Software. Search on Bibsonomy ISORC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
5Dolores R. Wallace Enhancing Competitiveness via a Public Fault & Failure Data Repository . Search on Bibsonomy HASE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF World Wide Web, reliability, software quality, Data analysis, failure, fault, data collection
5Toon Gijbels, Francky Catthoor, Luc Van Eycken, André Oosterlinck, Hugo De Man An application-specific architecture for the RBN-coder with efficient memory organization. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
5Wenchao Li 0001, Alessandro Forin, Sanjit A. Seshia Scalable specification mining for verification and diagnosis. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF error localization, verification, formal specification, debugging, diagnosis, assertions, post-silicon validation
5Nicola Bombieri, Franco Fummi, Graziano Pravadelli Abstraction of RTL IPs into embedded software. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF RTL IP reuse, embedded software generation
5Rattikorn Hewett, Phongphun Kijsanayothin On modeling software defect repair time. Search on Bibsonomy Empir. Softw. Eng. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Defect report analysis, Data mining, Software testing, Quality assurance, Testing management
5Shenghua Liu, Guoqiang Chen, Tom Tong Jing, Lei He 0001, Tianpei Zhang, Robi Dutta, Xianlong Hong Substrate Topological Routing for High-Density Packages. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
5Anne E. Haxthausen A Domain-Specific Framework for Automated Construction and Verification of Railway Control Systems. Search on Bibsonomy SAFECOMP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
5Barbara M. Chapman, Lei Huang 0006, Eric Biscondi, Eric Stotzer, Ashish Shrivastava, Alan Gatherer Implementing OpenMP on a high performance embedded multicore MPSoC. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
5Juan Antonio Holgado Terriza, Jaime Viúdez-Aivar A flexible Java framework for embedded systems. Search on Bibsonomy JTRES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Java, embedded systems, framework, flexibility, microcontroller
5Shihheng Tsai, Chung-Yang Huang A false-path aware formal static timing analyzer considering simultaneous input transitions. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF critical path selection, multiple input transitioning, formal method, static timing analysis, false path
5Amirhossein Alimohammad, Saeed Fouladi Fard, Bruce F. Cockburn FPGA-based accelerator for the verification of leading-edge wireless systems. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF wireless communications, bit error rate
5Ali Koudri, Joël Champeau, Denis Aulagnier, Philippe Soulard MoPCoM/MARTE Process Applied to a Cognitive Radio System Design and Analysis. Search on Bibsonomy ECMDA-FA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
5Tarek M. Taha, D. Scott Wills An Instruction Throughput Model of Superscalar Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Modeling techniques, Pipeline processors, Modeling of computer architecture
5Bastian Ristau, Torsten Limberg, Gerhard P. Fettweis A Mapping Framework for Guided Design Space Exploration of Heterogeneous MP-SoCs. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
5Ping Pan, Ling Jin, Chun Ying, Jin Hua Liu Template based rapid service creation environment for service delivery platform. Search on Bibsonomy NOMS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
5Zhonglei Wang, Wolfgang Haberl, Andreas Herkersdorf, Martin Wechs A Simulation Approach for Performance Validation during Embedded Systems Design. Search on Bibsonomy ISoLA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
5Ahmad Sghaier, Shawki Areibi, Robert D. Dony IEEE802.16-2004 OFDM functions implementation on FPGAS with design exploration. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
5Serino Angellotti, Stefano Monti, Walter Nesci, Alessandro Peciarolo, Giovanni Prodi, Claudio Schellino, Massimo Seminara, Rainer Wuestenhagen Control IP's Sharing: From Configurable Mechatronic Control to Autosar SW-C Package. A New Model Business Scenario across Automotive OEMs and Component Supplier and the New Roles of SW IP's Supplier and SW IP's Integrator. Search on Bibsonomy COMPSAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF X-PRO, Mechatronic, AUTOSAR
5Mohammed Issam Younis, Kamal Zuhairi Zamli, Nor Ashidi Mat Isa IRPS - An Efficient Test Data Generation Strategy for Pairwise Testing. Search on Bibsonomy KES (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
5Neunghoe Kim, Taek Lee, Dong-hyun Lee, Keun Lee, Hoh Peter In Customer Value-based HW/SW Partitioning Decision in Embedded Systems. Search on Bibsonomy SNPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Partitioning Decision, Embedded Systems, Customer Value
5Ilya Wagner, Valeria Bertacco Reversi: Post-silicon validation system for modern microprocessors. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 847 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license