The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ETS"( http://dblp.L3S.de/Venues/ETS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/ets

Publication years (Num. hits)
2004 (27) 2005 (36) 2006 (40) 2007 (35) 2008 (31) 2009 (27) 2010 (65) 2011 (53) 2012 (57) 2013 (46) 2014 (59) 2015 (51) 2016 (55) 2017 (44) 2018 (33) 2019 (42) 2020 (43) 2021 (45) 2022 (45) 2023 (62)
Publication types (Num. hits)
inproceedings(876) proceedings(20)
Venues (Conferences, Journals, ...)
ETS(896)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 199 occurrences of 154 keywords

Results
Found 896 publication records. Showing 896 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Elena I. Vatajelu, Alberto Bosio, Luigi Dilillo, Patrick Girard 0001, Aida Todri, Arnaud Virazel, Nabil Badereddine Analyzing resistive-open defects in SRAM core-cell under the effect of process variability. Search on Bibsonomy ETS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Afsaneh Nassery, Sule Ozev, Mustapha Slamani Analytical modeling for EVM in OFDM transmitters including the effects of IIP3, I/Q imbalance, noise, AM/AM and AM/PM distortion. Search on Bibsonomy ETS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Saman Kiamehr, Farshad Firouzi, Mehdi Baradaran Tahoori A layout-aware x-filling approach for dynamic power supply noise reduction in at-speed scan testing. Search on Bibsonomy ETS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Manuel J. Barragan Asian, Gildas Léger Efficient selection of signatures for analog/RF alternate test. Search on Bibsonomy ETS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Shyam Kumar Devarakond, Debashis Banerjee, Aritra Banerjee, Shreyas Sen, Abhijit Chatterjee Efficient system-level testing and adaptive tuning of MIMO-OFDM wireless transmitters. Search on Bibsonomy ETS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Wei-Hen Lo, Ang-Chih Hsieh, Chien-Ming Lan, Min-Hsien Lin, TingTing Hwang Utilizing circuit structure for scan chain diagnosis. Search on Bibsonomy ETS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Carolina Metzler, Aida Todri-Sanial, Alberto Bosio, Luigi Dilillo, Patrick Girard 0001, Arnaud Virazel, Pascal Vivet, Marc Belleville Computing detection probability of delay defects in signal line tsvs. Search on Bibsonomy ETS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Seetal Potluri, Satya Trinadh, Roopashree Baskaran, Nitin Chandrachoodan, V. Kamakoti 0001 PinPoint: An algorithm for enhancing diagnostic resolution using capture cycle power information. Search on Bibsonomy ETS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ozgur Sinanoglu, Naghmeh Karimi, Jeyavijayan Rajendran, Ramesh Karri, Yier Jin, Ke Huang 0001, Yiorgos Makris Reconciling the IC test and security dichotomy. Search on Bibsonomy ETS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Subhadip Kundu, Santanu Chattopadhyay, Indranil Sengupta 0001, Rohit Kapur Aggresive scan chain masking for improved diagnosis of multiple scan chain failures. Search on Bibsonomy ETS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1 18th IEEE European Test Symposium, ETS 2013, Avignon, France, May 27-30, 2013 Search on Bibsonomy ETS The full citation details ... 2013 DBLP  BibTeX  RDF
1Said Hamdioui, Davide Appello, Arnaud Grasset, Xinli Gu, Bram Kruseman, Riccardo Mariani, Hermann Obermeir, Srikanth Venkataraman Panel session what is the electronics industry doing to win the battle against the expected scary failure rates in future technology nodes? Search on Bibsonomy ETS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Daniel Arumí, Rosa Rodríguez-Montañés, Joan Figueras BIST architecture to detect defects in tsvs during pre-bond testing. Search on Bibsonomy ETS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hans A. R. Manhaeve, Esko Mikkola Semiconductor failure modes and mitigation for critical systems embedded tutorial. Search on Bibsonomy ETS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ke Huang 0001, Nathan Kupp, John M. Carulli Jr., Yiorgos Makris On combining alternate test with spatial correlation modeling in analog/RF ICs. Search on Bibsonomy ETS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yuxi Liu, Rong Ye, Feng Yuan, Qiang Xu 0001 Optimization for timing-speculated circuits by redundancy addition and removal. Search on Bibsonomy ETS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Sergej Deutsch, Krishnendu Chakrabarty Robust optimization of test-architecture designs for core-based SoCs. Search on Bibsonomy ETS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Martin Omaña 0001, Daniele Rossi 0001, Filippo Fuzzi, Cecilia Metra, Chandra Tirumurti, R. Galivache Novel approach to reduce power droop during scan-based logic BIST. Search on Bibsonomy ETS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Paolo Rech, Caroline Aguiar, Christopher Frost 0002, Luigi Carro Experimental evaluation of thread distribution effects on multiple output errors in GPUs. Search on Bibsonomy ETS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Rafal Baranowski, Michael A. Kochte, Hans-Joachim Wunderlich Scan pattern retargeting and merging with reduced access time. Search on Bibsonomy ETS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Unni Chandran, Dan Zhao, Rathish Jayabharathi Hybrid 3D pre-bonding test framework design. Search on Bibsonomy ETS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Sarvesh Prabhu, Michael S. Hsiao, Loganathan Lingappan, Vijay Gangaram Test generation for circuits with embedded memories using SMT. Search on Bibsonomy ETS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz Generation of compact multi-cycle diagnostic test sets. Search on Bibsonomy ETS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1H.-J. Lin, Xuan-Lun Huang, Jiun-Lang Huang A mutual characterization based SAR ADC self-testing technique. Search on Bibsonomy ETS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yuma Higuchi, Kenichi Shinkai, Masanori Hashimoto, Rahul M. Rao, Sani R. Nassif Extracting device-parameter variations using a single sensitivity-configurable ring oscillator. Search on Bibsonomy ETS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Shaji Krishnan, Hans G. Kerkhoff A robust metric for screening outliers from analogue product manufacturing tests responses. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Artur Jutman, Sergei Devadze, Igor Aleksejev, Thomas Wenzel Embedded synthetic instruments for Board-Level testing. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Armin Krieg, Johannes Grinschgl, Christian Steger, Reinhold Weiss, Andreas Genser, Holger Bock, Josef Haid Characterization and handling of low-cost micro-architectural signatures in MPSoCs. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jaan Raik FP7 collaborative research project DIAMOND: Diagnosis, error modeling and correction for reliable systems design. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Vasilis F. Pavlidis, Hu Xu 0002, Giovanni De Micheli Enhanced wafer matching heuristics for 3-D ICs. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Matthias Sauer 0002, Alexander Czutro, Bernd Becker 0001, Ilia Polian On the quality of test vectors for post-silicon characterization. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Fabian Oboril, Mehdi Baradaran Tahoori Reducing wearout in embedded processors using proactive fine-grain dynamic runtime adaptation. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jae Wook Lee, Ji Hwan (Paul) Chun, Jacob A. Abraham Indirect method for random jitter measurement on SoCs using critical path characterization. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Marco Ottavi Introducing MEDIAN: A new COST Action on manufacturable and dependable multicore architectures at nanoscale. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Joao Azevedo, Arnaud Virazel, Alberto Bosio, Luigi Dilillo, Patrick Girard 0001, Aida Todri, Guillaume Prenat, Jérémy Alvarez-Herault, Ken Mackay Coupling-based resistive-open defects in TAS-MRAM architectures. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Chandra K. H. Suresh, Ozgur Sinanoglu, Sule Ozev Adaptive testing of chips with varying distributions of unknown response bits. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Abishek Ramdas, Ozgur Sinanoglu Toggle-masking scheme for x-filtering. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Xinli Gu, Jeff Rearick, Bill Eklow, Martin Keim, Jun Qian, Artur Jutman, Krishnendu Chakrabarty, Erik Larsson Re-using chip level DFT at board level. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Leonardo Bonet Zordan, Alberto Bosio, Luigi Dilillo, Patrick Girard 0001, Aida Todri, Arnaud Virazel, Nabil Badereddine Defect analysis in power mode control logic of low-power SRAMs. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Alexander Czutro, Matthias Sauer 0002, Ilia Polian, Bernd Becker 0001 Multi-conditional SAT-ATPG for power-droop testing. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Xrysovalantis Kavousianos, Krishnendu Chakrabarty, Arvind Jain, Rubin A. Parekhji Time-division multiplexing for testing SoCs with DVS and multiple voltage islands. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz On the detection of path delay faults by functional broadside tests. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yukiya Miura, Yasuo Sato, Yousuke Miyake, Seiji Kajihara On-chip temperature and voltage measurement for field testing. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Said Hamdioui, Rob Aitken VLSI Test technology: Why is the field not sexy enough? Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ender Yilmaz, Sule Ozev, Ozgur Sinanoglu, Peter C. Maxwell Adaptive testing: Conquering process variations. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Asma Laraba, Haralampos-G. D. Stratigopoulos, Salvador Mir, Hervé Naudet, Christophe Forel Enhanced reduced code linearity test technique for multi-bit/stage pipeline ADCs. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Zhaobo Zhang, Xinli Gu, Yaohui Xie, Zhiyuan Wang, Zhanglei Wang, Krishnendu Chakrabarty Diagnostic system based on support-vector machines for board-level functional diagnosis. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Nadereh Hatami, Rafal Baranowski, Paolo Prinetto, Hans-Joachim Wunderlich Efficient system-level aging prediction. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Stefanos Valadimas, Yiorgos Tsiatouhas, Angela Arapoyanni Cost and power efficient timing error tolerance in flip-flop based microprocessor cores. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mahesh Prabhu, Jacob A. Abraham Functional test generation for hard to detect stuck-at faults using RTL model checking. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Chih-Sheng Hou, Jin-Fu Li 0001 Disturbance fault testing on various NAND flash memories. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mohamed Azimane Reducing test cost for mixed signal circuits "From TOETS to ELESIS". Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Valentin Gherman, Samuel Evain, Yannick Bonhomme Memory reliability improvements based on maximized error-correcting codes. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jean DaRolt, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre On-chip test comparison for protecting confidential data in secure ICs. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Cândido Duarte, Henrique Cavadas, Pedro Coke, Luis Malheiro, Vítor Grade Tavares, Pedro Guedes de Oliveira BIST design for analog cell matching. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Syed Zafar Shazli, Mehdi Baradaran Tahoori Online detection and recovery of transient errors in front-end structures of microprocessors. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Aritra Banerjee, Shyam Kumar Devarakond, Shreyas Sen, Debashis Banerjee, Abhijit Chatterjee Testing of digitally assisted adaptive analog/RF systems using tuning knob - Performance space estimation. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Gabriel L. Nazar, Luigi Carro Fast error detection through efficient use of hardwired resources in FPGAs. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Riccardo Mariani The impact of functional safety standards in the design and test of reliable and available integrated circuits. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mihalis Psarakis, Andreas Apostolakis Fault tolerant FPGA processor based on runtime reconfigurable modules. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Abhishek Jain 0003, Andrea Veggetti 0001, Dennis Crippa, Pierluigi Rolandi On-chip delay measurement circuit. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Piet Engelke, Hermann Obermeir Funding project DIANA - Integrated diagnostics for the analysis of electronic failures in vehicles. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Urmas Repinski, Hanno Hantson, Maksim Jenihhin, Jaan Raik, Raimund Ubar, Giuseppe Di Guglielmo, Graziano Pravadelli, Franco Fummi Combining dynamic slicing and mutation operators for ESL correction. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Manuel J. Barragan Asian, Gildas Léger, José L. Huertas OBT for settling error test of sampled-data systems using signal-dependent clocking. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1 17th IEEE European Test Symposium, ETS 2012, Annecy, France, May 28 - June 1 2012 Search on Bibsonomy ETS The full citation details ... 2012 DBLP  BibTeX  RDF
1Ender Yilmaz, Sule Ozev Adaptive multi-site testing for analog/mixed-signal circuits incorporating neighborhood information. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Friedrich Hapke, Jürgen Schlöffel Introduction to the defect-oriented cell-aware test methodology for significant reduction of DPPM rates. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Stefan Hillebrecht, Michael A. Kochte, Hans-Joachim Wunderlich, Bernd Becker 0001 Exact stuck-at fault classification in presence of unknowns. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Fábio P. Itturriet, Ronaldo Rodrigues Ferreira, Luigi Carro Fault-Tolerant Algebraic Architecture for radiation induced soft-errors. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jörg Henkel, Oliver Bringmann 0001, Andreas Herkersdorf, Wolfgang Rosenstiel, Norbert Wehn Dependable embedded systems: The German research foundation DFG priority program SPP 1500. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mehdi Dehbashi, Görschwin Fey, Kaushik Roy 0001, Anand Raghunathan Functional analysis of circuits under timing variations. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Xiaoqing Wen Power-aware testing: The next stage. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Cristiana Bolchini, Antonio Miele, Chiara Sandionigi Increasing autonomous fault-tolerant FPGA-based systems' lifetime. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jakub Janicki, Jerzy Tyszer, Grzegorz Mrugalski, Janusz Rajski Bandwidth-aware test compression logic for SoC designs. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Zhengliang Lv, Linda Milor, Shiyuan Yang Impact of NBTI on analog components. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1George Theodorou, Serafeim Chatzopoulos, Nektarios Kranitis, Antonis M. Paschalis, Dimitris Gizopoulos A Software-Based Self-Test methodology for on-line testing of data TLBs. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Samah Mohamed Saeed, Ozgur Sinanoglu DfT support for launch and capture power reduction in launch-off-capture testing. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Carolina Metzler, Aida Todri, Alberto Bosio, Luigi Dilillo, Patrick Girard 0001, Arnaud Virazel Through-Silicon-Via resistive-open defect analysis. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Q. Wang, Andreas Wallin, Viacheslav Izosimov, Urban Ingelsson, Zebo Peng Test tool qualification through fault injection. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Paolo Bernardi, Lyl M. Ciganda, Mauricio de Carvalho, Michelangelo Grosso, Jorge Luis Lagos-Benites, Ernesto Sánchez 0001, Matteo Sonza Reorda, Oscar Ballan On-line software-based self-test of the Address Calculation Unit in RISC processors. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Alejandro Cook, Sybille Hellebrand, Hans-Joachim Wunderlich Built-in self-diagnosis exploiting strong diagnostic windows in mixed-mode test. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mohamed Tagelsir Mohammadat, Noohul Basheer Zain Ali, Fawnizu Azmadi Hussin Multi-voltage aware resistive open fault modeling. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ozgur Sinanoglu Toggle-Based Masking Scheme for Clustered Unknown Response Bits. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF response unknowns, unknown masking, scan based test, response compaction
1Tomokazu Yoneda, Makoto Nakao, Michiko Inoue, Yasuo Sato, Hideo Fujiwara Temperature-Variation-Aware Test Pattern Optimization. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Michail Maniatakos, Chandra Tirumurti, Abhijit Jas, Yiorgos Makris AVF Analysis Acceleration via Hierarchical Fault Pruning. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Anelise Kologeski, Caroline Concatto, Luigi Carro, Fernanda Lima Kastensmidt Improving Reliability in NoCs by Application-Specific Mapping Combined with Adaptive Fault-Tolerant Method in the Links. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Data Splitting, Fault Tolerance, Mapping, Adaptive Routing, Links, NoCs
1Alejandro Cook, Melanie Elm, Hans-Joachim Wunderlich, Ulrich Abelein Structural In-Field Diagnosis for Random Logic Circuits. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF In-field diagnosis, Built-In Self-Diagnosis
1Alessandro Cilardo, Carmelo Lofiego, Antonino Mazzeo, Nicola Mazzocca Revisiting Application-Dependent Test for FPGA Devices. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Application-Dependent test, FPGA test
1Dariusz Czysz, Grzegorz Mrugalski, Nilanjan Mukherjee 0001, Janusz Rajski, Jerzy Tyszer Reduced ATE Interface for High Test Data Compression. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF channel bandwidth management, embedded deterministic test, test interface, tri-modal compression, test data compression, scan-based designs
1Chun-Chuan Chi, Erik Jan Marinissen, Sandeep Kumar Goel, Cheng-Wen Wu DfT Architecture for 3D-SICs with Multiple Towers. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF three-dimensional stacking, 3D-SIC, multi-tower, DfT, wrapper, design-for-test, TSV, through-silicon via
1 16th European Test Symposium, ETS 2011, Trondheim, Norway, May 23-27, 2011 Search on Bibsonomy ETS The full citation details ... 2011 DBLP  BibTeX  RDF
1Harm C. M. Bossers, Johann L. Hurink, Gerard J. M. Smit Online Univariate Outlier Detection in Final Test: A Robust Rolling Horizon Approach. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF online outlier detection, final test, robust
1Ilia Polian, Bernd Becker 0001, Sybille Hellebrand, Hans-Joachim Wunderlich, Peter C. Maxwell Towards Variation-Aware Test Methods. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Delay test, Adaptive test, Parameter variations
1Feng Yuan, Xiao Liu 0011, Qiang Xu 0001 On High-Quality Test Pattern Selection and Manipulation. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Pseudo-functional testing, test overkill, test escape, test pattern selection
1Marie Engelene J. Obien, Satoshi Ohtake, Hideo Fujiwara F-Scan Test Generation Model for Delay Fault Testing at RTL Using Standard Full Scan ATPG. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF automatic test pattern generation, scan-based test, high-level testing
1Mottaqiallah Taouil, Said Hamdioui Layer Redundancy Based Yield Improvement for 3D Wafer-to-Wafer Stacked Memories. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF 3D stacked-IC, memory redundancy, 3D memory, yield enhancement
1Dongsoo Lee, Kaushik Roy 0001 Viterbi-Based Efficient Test Data Compression. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF On-Chip Decompressor, Scalability, Logic Test, Test Data Compression, Low-Power Test
1Shaji Krishnan, Hans G. Kerkhoff A Robust Metric for Screening Outliers from Analogue Product Manufacturing Tests Responses. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Analogue, Reliability, Test, Outliers, Mahalanobis distance
1Aritra Banerjee, Subho Chatterjee, Azad Naeemi, Abhijit Chatterjee Power Aware Post-manufacture Tuning of Analog Nanocircuits. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Fang Bao, Ke Peng, Mahmut Yilmaz, Krishnendu Chakrabarty, LeRoy Winemberg, Mohammad Tehranipoor Critical Fault-Based Pattern Generation for Screening SDDs. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 896 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license