The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "CASES"( http://dblp.L3S.de/Venues/CASES )

URL (DBLP): http://dblp.uni-trier.de/db/conf/cases

Publication years (Num. hits)
2000 (28) 2001 (32) 2002 (39) 2003 (34) 2004 (34) 2005 (33) 2006 (43) 2007 (37) 2008 (30) 2009 (32) 2010 (31) 2011 (28) 2012 (24) 2013 (27) 2014 (25) 2015 (26) 2016 (22) 2017 (23) 2018 (15) 2020-2022 (34) 2023 (7)
Publication types (Num. hits)
inproceedings(581) proceedings(23)
Venues (Conferences, Journals, ...)
CASES(604)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 964 occurrences of 527 keywords

Results
Found 604 publication records. Showing 604 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Partha Biswas, Girish Venkataramani Comprehensive isomorphic subtree enumeration. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF subtree isomorphism, subtree matching algorithm, embedded systems
1Ben Lickly, Isaac Liu, Sungjun Kim, Hiren D. Patel, Stephen A. Edwards, Edward A. Lee Predictable programming on a precision timed architecture. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF pipeline, memory hierarchy, timing predictability
1Seyed-Hosein Attarzadeh-Niaki, Alessandro Cevrero, Philip Brisk, Chrysostomos Nicopoulos, Frank K. Gürkaynak, Yusuf Leblebici, Paolo Ienne Design space exploration for field programmable compressor trees. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design space exploration (dse), field programmable compressor tree (fpct)
1Mohammed G. Khatib, Pieter H. Hartel Power management of MEMS-based storage devices for mobile systems. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF probe-based storage, power management, energy, MEMS
1Yousra Alkabani, Farinaz Koushanfar Active control and digital rights management of integrated circuit IP cores. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF active IP control, security, IP protection
1Erik R. Altman (eds.) Proceedings of the 2008 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2008, Atlanta, GA, USA, October 19-24, 2008 Search on Bibsonomy CASES The full citation details ... 2008 DBLP  BibTeX  RDF
1Ajay Nair, Roman L. Lysecky Non-intrusive dynamic application profiler for detailed loop execution characterization. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF nonintrusive, embedded systems, profiling, dynamic optimization
1Mohammad Ali Ghodrat, Tony Givargis, Alex Nicolau Control flow optimization in loops using interval analysis. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF algorithmic code transformation, compiler loop optimization, interval analysis
1Houman Homayoun, Mohammad A. Makhzan, Alexander V. Veidenbaum Multiple sleep mode leakage control for cache peripheral circuits in embedded processors. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multiple sleep mode, peripheral circuits, cache, embedded processor, leakage power
1Oreste Villa, Gianluca Palermo, Cristina Silvano Efficiency and scalability of barrier synchronization on NoC based many-core architectures. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF scalability, synchronization, efficiency, Multicore, NoC, barrier, Manycore
1Alastair David Reid, Krisztián Flautner, Edmund Grimley-Evans, Yuan Lin 0002 SoC-C: efficient programming abstractions for heterogeneous multicore systems on chip. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF energy efficiency, embedded, parallel language
1Peter Yiannacouras, J. Gregory Steffan, Jonathan Rose VESPA: portable, scalable, and flexible FPGA-based vector processors. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SPREE, VESPA, VIRAM, FPGA, custom, SIMD, vector, ASIP, microarchitecture, application specific, soft processor
1Christophe Dubach, Timothy M. Jones 0001, Michael F. P. O'Boyle Exploring and predicting the architecture/optimising compiler co-design space. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF architecture/compiler co-design, performance prediction, design-space exploration
1Michael B. Henry, Syed Imtiaz Haider, Leyla Nazhandali A low-power parallel design of discrete wavelet transform using subthreshold voltage technology. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low power, parallel, wavelet, subthreshold
1Shantanu Gupta, Shuguang Feng, Amin Ansari, Jason A. Blome, Scott A. Mahlke StageNetSlice: a reconfigurable microarchitecture building block for resilient CMP systems. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF reliability, architecture, pipeline, multicore
1José Baiocchi, Bruce R. Childers, Jack W. Davidson, Jason Hiser Reducing pressure in bounded DBT code caches. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF footprint reduction, system-on-chip, code generation, dynamic binary translation
1Yu Sun 0006, Wei Zhang 0002 Efficient code caching to improve performance and energy consumption for java applications. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF code caching, code generation, java virtual machine, instruction cache, JIT compiler
1Bill Athas Power on demand for mobile computing devices. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Amir Hormati, Manjunath Kudlur, Scott A. Mahlke, David F. Bacon, Rodric M. Rabbah Optimus: efficient realization of streaming applications on FPGAs. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF optimization, FPGA, embedded systems, compiler, streaming, heterogeneous
1Melhem Tawk, Khaled Z. Ibrahim, Smaïl Niar Multi-granularity sampling for simulating concurrent heterogeneous applications. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF simulation sampling, multiprocessor system-on-chip, simulation acceleration
1Florent Bouchez, Alain Darte, Fabrice Rastello Advanced conservative and optimistic register coalescing. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF coloring number, greedy-k-colorable graph, register allocation, chordal graph, register coalescing
1Chen Huang 0005, Frank Vahid Dynamic coprocessor management for FPGA-enhanced compute platforms. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF coprocessing, online algorithms., FPGAs, dynamic optimization, acceleration, runtime configuration
1Paolo Bonzini, Giovanni Ansaloni, Laura Pozzi Compiling custom instructions onto expression-grained reconfigurable architectures. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF horizontal microprogramming, compilers, instruction set extensions, coarse-grained reconfigurable architectures, data-flow architectures
1Wolfgang Puffitsch Decoupled root scanning in multi-processor systems. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF real-time, garbage collection, multi-processor
1Ajay Kumar Verma, Philip Brisk, Paolo Ienne Rethinking custom ISE identification: a new processor-agnostic method. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF ISE identification, custom processors, maximal cluster
1Angel Dominguez, Nghi Nguyen, Rajeev Barua Recursive function data allocation to scratch-pad memory. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF profile dependance, embedded systems, compiler, memory allocation, recursive functions, scratch-pad memory
1Andrea Marongiu, Luca Benini, Mahmut T. Kandemir Lightweight barrier-based parallelization support for non-cache-coherent MPSoC platforms. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF code parallelization, MPSoCs, barrier synchronization
1Ravishankar Rao, Sarma B. K. Vrudhula Performance optimal processor throttling under thermal constraints. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF leakage dependence on temperature, power, thermal management, thermal model, throttling
1Rahul Nagpal, Arvind Madan, Bharadwaj Amrutur, Y. N. Srikant INTACTE: an interconnect area, delay, and energy estimation tool for microarchitectural explorations. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF interconnect, energy modeling, energy-aware scheduling, clustered VLIW processors
1Huynh Phung Huynh, Joon Edward Sim, Tulika Mitra An efficient framework for dynamic reconfiguration of instruction-set customization. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dynamic reconfiguration, instruction-set extensions, temporal partitioning, customizable processors
1Hugo Venturini, Frédéric Riss, Jean-Claude Fernandez, Miguel Santana Non-transparent debugging for software-pipelined loops. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF non-transparent debugging, compiler, software-pipelining, debugger
1Luis A. Plana, Doug A. Edwards, Sam Taylor, Luis A. Tarazona, Andrew Bardsley Performance-driven syntax-directed synthesis of asynchronous processors. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF handshake components, syntax-directed synthesis, asynchronous circuits
1Stefan Schäckeler, Weijia Shang Stack size reduction of recursive programs. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF stack size reduction, embedded systems, recursion
1Yuan Lin 0002, Manjunath Kudlur, Scott A. Mahlke, Trevor N. Mudge Hierarchical coarse-grained stream compilation for software defined radio. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF MPSoC compilation, dataflow programming model, software defined radio, modulo scheduling
1Karthik Ramani, Al Davis Application driven embedded system design: a face recognition case study. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded systems, compilers, face recognition, workload characterization, instruction scheduling, domain specific architectures
1Walid A. Najjar Compiling code accelerators for FPGAs. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGA code acceleration
1Ben L. Titzer, Jens Palsberg Vertical object layout and compression for fixed heaps. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF heap optimization, object layout, pointer compression, program data compression, reference compression, vertical object layout, microcontrollers
1Trevor N. Mudge Multicore architectures. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multicore
1Rakesh Reddy, Peter Petrov Eliminating inter-process cache interference through cache reconfigurability for real-time and low-power embedded multi-tasking systems. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF real-time embedded systems, cache interference
1Hoeseok Yang, Sungchan Kim, Hae-woo Park, Jinwoo Kim, Soonhoi Ha Performance evaluation and optimization of dual-port SDRAM architecture for mobile embedded systems. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dual-port SDRAM, mobile embedded system, memory architecture
1Ahmad Zmily, Christos Kozyrakis A low power front-end for embedded processors using a block-aware instruction set. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF instruction re-ordering, low power front-end, software hints, tagless instruction cache, unified instruction cache and BTB, instruction prefetching
1Chengmo Yang, Alex Orailoglu Light-weight synchronization for inter-processor communication acceleration on embedded MPSoCs. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF synchronization, interprocessor communication
1Kevin K. O'Brien Techniques for code and data management in the local stores of the cell processor. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF invited talk
1Carmen Badea, Alexandru Nicolau, Alexander V. Veidenbaum A simplified java bytecode compilation system for resource-constrained embedded processors. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF superoperators, embedded systems, java virtual machine, adaptive optimization, profile-guided optimization
1Taewhan Kim, Pascal Sainrat, Steven S. Lumetta, Nacho Navarro (eds.) Proceedings of the 2007 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2007, Salzburg, Austria, September 30 - October 3, 2007 Search on Bibsonomy CASES The full citation details ... 2007 DBLP  BibTeX  RDF
1Christopher Zimmer 0001, Stephen Roderick Hines, Prasad A. Kulkarni, Gary S. Tyson, David B. Whalley Facilitating compiler optimizations through the dynamic mapping of alternate register structures. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF register queues, compiler optimizations, software pipelining
1Florian Brandner, Dietmar Ebner, Andreas Krall Compiler generation from structural architecture descriptions. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF ADL, architecture description, retargetable compiler
1Jaw-Wei Chi, Chia-Lin Yang, Yi-Jung Chen, Jian-Jia Chen Cache leakage control mechanism for hard real-time systems. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cache leakage control policy, hard real-time system
1Doosan Cho, Ilya Issenin, Nikil D. Dutt, Jonghee W. Yoon, Yunheung Paek Software controlled memory layout reorganization for irregular array access patterns. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF energy consumption, data layout, scratch pad memory
1Greg Hoover, Forrest Brewer, Timothy Sherwood Towards understanding architectural tradeoffs in MEMS closed-loop feedback control. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF MEMS control, embedded architecture
1Dawoon Jung 0001, Yoon-Hee Chae, Heeseung Jo, Jinsoo Kim 0001, Joonwon Lee A group-based wear-leveling algorithm for large-capacity flash memory storage systems. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded system, flash memory, storage systems, wear leveling
1Philip Brisk, Ajay Kumar Verma, Paolo Ienne An optimistic and conservative register assignment heuristic for chordal graphs. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF static single assignment (ssa) form, chordal graph, register assignment
1Raimund Kirner SCCP/x: a compilation profile to support testing and verification of optimized code. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF decision coverage, structural code-coverage preservation, optimization, testing, compiler, code transformation
1Tom Vander Aa, Bingfeng Mei, Bjorn De Sutter A backtracking instruction scheduler using predicate-based code hoisting to fill delay slots. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF VLIW scheduling, code hoisting, predication
1Roger Moussali, Nabil Ghanem, Mazen A. R. Saghir Supporting multithreading in configurable soft processor cores. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF soft processor cores, multithreading
1José Baiocchi, Bruce R. Childers, Jack W. Davidson, Jason Hiser, Jonathan Misurda Fragment cache management for dynamic binary translators in embedded systems with scratchpad. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded systems, dynamic binary translation, scratchpad
1Syed Imtiaz Haider, Leyla Nazhandali A hybrid code compression technique using bitmask and prefix encoding with enhanced dictionary selection. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF bitmask, embedded systems, code compression
1Lei Gao, Stefan Kraemer, Rainer Leupers, Gerd Ascheid, Heinrich Meyr A fast and generic hybrid simulation approach using C virtual machine. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF simulation, virtual machine, debugging
1Sharad Singhai, MingYung Ko, Sanjay Jinturkar, Mayan Moudgill, John Glossner An integrated ARM and multi-core DSP simulator. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multi-core simulation, performance measurement, just-in-time compilation, ARM, dynamic translation, embedded architectures
1Nghi Nguyen, Angel Dominguez, Rajeev Barua Scratch-pad memory allocation without compiler support for java applications. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF java, embedded systems, compiler, JVM, memory allocation, JIT, scratch-pad
1Weixing Ji, Feng Shi 0009, Baojun Qiao A self-maintained memory module supporting DMM. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF active memory module, object-based cache, object-oriented programming, dynamic memory management
1John Gilbert, David M. Abrahamson Adaptive object code compression. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF code compression, code size reduction, code compaction
1Jason A. Blome, Shantanu Gupta, Shuguang Feng, Scott A. Mahlke Cost-efficient soft error protection for embedded microprocessors. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF reliability, embedded processors, soft errors
1Mark Hempstead, Gu-Yeon Wei, David M. Brooks Architecture and circuit techniques for low-throughput, energy-constrained systems across technology generations. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF wireless sensor networks, low power, system architecture, technology scaling, leakage power reduction
1Hyunchul Park 0001, Kevin Fan, Manjunath Kudlur, Scott A. Mahlke Modulo graph embedding: mapping applications onto coarse-grained reconfigurable architectures. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF graph embedding, modulo scheduling, coarse-grained reconfigurable architecture
1Ka-Ming Keung, Akhilesh Tyagi State space reconfigurability: an implementation architecture for self modifying finite automata. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF SMFA, architecture, reconfigurability, FSM
1Paolo Bonzini, Laura Pozzi Code transformation strategies for extensible embedded processors. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF compilers, ASIPs, instruction-set extensions, customizable processors
1Dong-Heon Jung, Sung-Hwan Bae, Jaemok Lee, Soo-Mook Moon, Jong Kuk Park Supporting precise garbage collection in Java Bytecode-to-C ahead-of-time compiler for embedded systems. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Bytecode-to-C, J2ME CDC, ahead-of-time compiler, precise garbage collection, java virtual machine
1Bernhard Egger 0002, Chihun Kim, Choonki Jang, Yoonsung Nam, Jaejin Lee, Sang Lyul Min A dynamic code placement technique for scratchpad memory using postpass optimization. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF embedded systems, compilers, scratchpad memory, demand paging, code placement, postpass optimization, heterogeneous memory
1Dong Hyuk Woo, Mrinmoy Ghosh, Emre Özer 0001, Stuart Biles, Hsien-Hsin S. Lee Reducing energy of virtual cache synonym lookup using bloom filters. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low power, cache, bloom filter, synonym
1John Cavazos, Christophe Dubach, Felix V. Agakov, Edwin V. Bonilla, Michael F. P. O'Boyle, Grigori Fursin, Olivier Temam Automatic performance model construction for the fast software exploration of new hardware designs. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF machine learning, architecture, artificial neural networks, performance modelling, compiler optimization
1Ankush Varma, Muhammad Yaqub Afridi, Akin Akturk, Paul Klein, Allen R. Hefner, Bruce L. Jacob Modeling heterogeneous SoCs with SystemC: a digital/MEMS case study. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF gas sensor, microhotplate, modeling, power, SystemC, MEMS
1Manuel Carro, José F. Morales 0001, Henk L. Muller, Germán Puebla, Manuel V. Hermenegildo High-level languages for small devices: a case study. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF program analysis and transformation, wearable computers, optimizing compilation, (constraint) logic programming
1Chengmo Yang, Alex Orailoglu Power efficient branch prediction through early identification of branch addresses. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low-power design, application-specific processors, dynamic branch prediction
1Greg Hoover, Forrest Brewer, Timothy Sherwood Extensible control architectures. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF specification methodology, control architecture
1Bernhard Scholz, Bernd Burgstaller, Jingling Xue Minimizing bank selection instructions for partitioned memory architecture. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF PBQP, RAM allocation, bank-switching, partitioned memory architecture, compiler optimization, microcontrollers
1Hiroshi Nakashima, Masahiro Konishi, Takashi Nakada An accurate and efficient simulation-based analysis for worst case interruption delay. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF worst case interruption delay, cycle accurate simulation
1Hans-Peter Löb, Rainer Buchty, Wolfgang Karl A network agent for diagnosis and analysis of real-time Ethernet networks. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF industrial Ethernet, real-time, monitoring, system-on-chip
1Lan S. Bai, Lei Yang 0017, Robert P. Dick Automated compile-time and run-time techniques to increase usable memory in MMU-less embedded systems. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF wireless sensor network, embedded system, data compression
1Liang-Gee Chen Dances with multimedia: embedded video codec design. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Chinnakrishnan S. Ballapuram, Kiran Puttaswamy, Gabriel H. Loh, Hsien-Hsin S. Lee Entropy-based low power data TLB design. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low-power TLB, spatial and temporal locality, entropy
1Taeho Kgil, Trevor N. Mudge FlashCache: a NAND flash memory file cache for low power web servers. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF full-system, server platforms, simulation, embedded system, low power, flash memory, web server, application-specific architectures
1Kim M. Hazelwood, Artur Klauser A dynamic binary instrumentation engine for the ARM architecture. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF binary instrumentation, pin, dynamic translation, embedded architectures
1Seon-Yeong Park, Dawoon Jung 0001, Jeong-Uk Kang, Jinsoo Kim 0001, Joonwon Lee CFLRU: a replacement algorithm for flash memory. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF embedded storage, flash memory, replacement algorithm
1Charles Hardnett, Krishna V. Palem, Yogesh Chobe Compiler optimization of embedded applications for an adaptive SoC architecture. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF compilers, resource allocation, system on chip, reconfigurable computing, resource scheduling
1Asadollah Shahbahrami, Ben H. H. Juurlink, Stamatis Vassiliadis Limitations of special-purpose instructions for similarity measurements in media SIMD extensions. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF sub-word parallelism, similarity measurements, SIMD
1Lukasz Strozek, David M. Brooks Efficient architectures through application clustering and architectural heterogeneity. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF efficient custom architectures, heterogeneous CMP
1Noel Eisley, Vassos Soteriou, Li-Shiuan Peh High-level power analysis for multi-core chips. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF simulation, chip multiprocessor (CMP), multi-core, power analysis, system-on-a-chip (SoC)
1Greg Hoover, Forrest Brewer, Timothy Sherwood A case study of multi-threading in the embedded space. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multi-threading, embedded architecture
1Arran Derbyshire, Tobias Becker, Wayne Luk Incremental elaboration for run-time reconfigurable hardware designs. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF incremental elaboration, run-time reconfiguration, hardware compilation
1Duo Liu, Bei Hua, Xianghui Hu, Xinan Tang High-performance packet classification algorithm for many-core and multithreaded network processor. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF architecture, multithreading, network processor, packet classification, thread-level parallelism, embedded system design
1Neil C. Audsley, Michael Ward Syntax-driven implementation of software programming language control constructs and expressions on FPGAs. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF fpga, compilation, language
1Mats Brorsson, Mikael Collin Adaptive and flexible dictionary code compression for embedded applications. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF dictionary code compression, fetch path energy, instruction memory bandwidth, instruction profiling, processor architecture
1Vivy Suhendra, Chandrashekar Raghavan, Tulika Mitra Integrated scratchpad memory optimization and task scheduling for MPSoC architectures. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF scheduling, MPSoC, scratchpad memory, task mapping
1Razvan Racu, Arne Hamann, Rolf Ernst, Bren Mochocki, Xiaobo Sharon Hu Methods for power optimization in distributed embedded systems with real-time requirements. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF SymTA/S, real-time systems, evolutionary algorithms, dynamic voltage scaling, sensitivity analysis, timing analysis, power optimization
1Yoonseo Choi, Hwansoo Han Protected heap sharing for memory-constrained java environments. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF heap sharing, memory protection unit, garbage collection, dynamic memory management
1Seongsoo Hong, Wayne H. Wolf, Krisztián Flautner, Taewhan Kim (eds.) Proceedings of the 2006 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2006, Seoul, Korea, October 22-25, 2006 Search on Bibsonomy CASES The full citation details ... 2006 DBLP  BibTeX  RDF
1Anahita Shayesteh, Glenn Reinman, Norman P. Jouppi, Timothy Sherwood, Suleyman Sair Improving the performance and power efficiency of shared helpers in CMPs. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF constructive sharing, factored core, flexible sharing, helper configuration, helper engine, sharing policy, CMP, phase
1Won So, Alexander G. Dean Reaching fast code faster: using modeling for efficient software thread integration on a VLIW DSP. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF TI C6000, static profitability estimation, DSP, software pipelining, VLIW, iterative compilation, software thread integration
1Nathan Clark, Amir Hormati, Scott A. Mahlke, Sami Yehia Scalable subgraph mapping for acyclic computation accelerators. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF compilation, embedded processors
Displaying result #301 - #400 of 604 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license