The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for CMP with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1994-2000 (24) 2001-2002 (22) 2003-2004 (31) 2005 (59) 2006 (80) 2007 (132) 2008 (124) 2009 (102) 2010 (70) 2011 (36) 2012 (16) 2013 (19) 2014 (17) 2015-2016 (24) 2017-2019 (15) 2020-2023 (19) 2024 (2)
Publication types (Num. hits)
article(156) incollection(2) inproceedings(627) phdthesis(7)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 857 occurrences of 424 keywords

Results
Found 792 publication records. Showing 792 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Jiayi Du, Renfa Li, Zheng Xiao, Zhao Tong 0001, Li Zhang Optimization of Data Allocation on CMP Embedded System with Data Migration. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Terutake Hayashi, Toshiki Seri, Syuhei Kurokawa A Novel Particle Sizing Method for Nano Abrasives in CMP Slurry by Using Fluorescent Nano Probe. Search on Bibsonomy Int. J. Autom. Technol. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Anam Rajper, Shahnawaz Talpur, Noor-U.-Zaman Laghari, Noor Jehan Rajper Analysis of Performance of Instruction Pipeline with Transactional Slice Mechanism in CMP. Search on Bibsonomy UKSim The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Avishek Choudhury, Biplab K. Sikdar CIFR: A complete in-place fault remapping strategy for CMP cache using dynamic reuse distance. Search on Bibsonomy ISED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Ugljesa Milic, Alejandro Rico, Paul M. Carpenter, Alex Ramírez Sharing the instruction cache among lean cores on an asymmetric CMP for HPC applications. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Yangguo Liu, Junlin Lu, Dong Tong 0001, Xu Cheng 0001 A Staged Memory Resource Management Method for CMP systems. Search on Bibsonomy ASAP The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Hailong Yang, Quan Chen 0002, Moeiz Riaz, Zhongzhi Luan, Lingjia Tang, Jason Mars PowerChief: Intelligent Power Allocation for Multi-Stage Applications to Improve Responsiveness on Power Constrained CMP. Search on Bibsonomy ISCA The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Bruce L. Jacob The Case for VLIW-CMP as a Building Block for Exascale. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Ruijun Wang, Pengju Shang, Junyao Zhang, Qingdong Wang, Ting Liu, Jun Wang 0001 MAR: A Novel Power Management for CMP Systems in Data-Intensive Environment. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Hui-Ju Katherine Chiang, Chi-Yuan Liu, Jie-Hong R. Jiang, Yao-Wen Chang Simultaneous EUV Flare Variation Minimization and CMP Control by Coupling-Aware Dummification. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Jae-Yeon Won, Paul V. Gratz, Srinivas Shakkottai, Jiang Hu Resource Sharing Centric Dynamic Voltage and Frequency Scaling for CMP Cores, Uncore, and Memory. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Maria Filomena Santarelli, Daniele Della Latta, Michele Scipioni, Vincenzo Positano, Luigi Landini A Conway-Maxwell-Poisson (CMP) model to address data dispersion on positron emission tomography. Search on Bibsonomy Comput. Biol. Medicine The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Wenli Zheng, Kai Ma, Xiaorui Wang TECfan: Coordinating Thermoelectric Cooler, Fan, and DVFS for CMP Energy Optimization. Search on Bibsonomy IPDPS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Gabriel A. G. Andrade, Marleson Graf, Luiz C. V. dos Santos Chain-based pseudorandom tests for pre-silicon verification of CMP memory systems. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Fatemeh Aghaaliakbari, Mohaddeseh Hoveida, Mohammad Arjomand, Majid Jalili 0001, Hamid Sarbazi-Azad Efficient processor allocation in a reconfigurable CMP architecture for dark silicon era. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Salman Onsori, Arghavan Asad, Kaamran Raahemifar, Mahmood Fathy High performance 3D CMP design with stacked hybrid memory architecture in the dark silicon era using a convex optimization model. Search on Bibsonomy ISCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18José Puche, Sergio Lechago, Salvador Petit, María Engracia Gómez, Julio Sahuquillo Accurately modeling a photonic NoC in a detailed CMP simulation framework. Search on Bibsonomy HPCS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Shirshendu Das, Hemangee K. Kapoor Towards a Better Cache Utilization by Selective Data Storage for CMP Last Level Caches. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Sri Harsha Gade, Praveen Kumar, Sujay Deb A Pre-RTL floorplanner tool for automated CMP design space exploration with thermal awareness. Search on Bibsonomy VDAT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Marti Torrents Lapuerta Improving prefetching mechanisms for tiled CMP platforms. Search on Bibsonomy 2016   RDF
18Ing-Chao Lin, Jeng-Nian Chiou High-Endurance Hybrid Cache Design in CMP Architecture With Cache Partitioning and Access-Aware Policies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Guohong Li, Olivier Temam, Zhenyu Liu 0001, Sanchuan Guo, Dongsheng Wang 0002 Cluster Cache Monitor: Leveraging the Proximity Data in CMP. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Richard Beranek, Henry Fung, Mojtaba Ahmadi Disturbance compensation in bipedal locomotion using Ground reaction force feedback and the CMP. Search on Bibsonomy Int. J. Robotics Autom. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Jigen Peng, Shigang Yue, Haiyang Li NP/CMP Equivalence: A Phenomenon Hidden Among Sparsity Models l0 Minimization and p Minimization for Information Processing. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Che-Hsuan Chang, Han-Pang Huang, Huan-Kun Hsu, Ching-An Cheng Humanoid robot push-recovery strategy based on CMP criterion and angular momentum regulation. Search on Bibsonomy AIM The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Kinson Chan, King Tin Lam, Cho-Li Wang Cache Affinity Optimization Techniques for Scaling Software Transactional Memory Systems on Multi-CMP Architectures. Search on Bibsonomy ISPDC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Li Chieh Hsu, Yu-Min Lin, Chien Liang Wu, Wei Kun Lee, Yen Chun Liu, Cheng Pu Chiu, Hsin Kuo Hsu, Chun Yi Wang, Chien Chung Huang, Chin Fu Lin Effects of copper CMP and post clean process on VRDB and TDDB at 28nm and advanced technology node. Search on Bibsonomy IRPS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Hadrien A. Clarke, Kazuaki J. Murakami MAD7F: A FPGA-based CMP Memory Architecture Simulation Framework. Search on Bibsonomy CANDAR The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Antonis Psathakis, Vassilis Papaefstathiou, Nikolaos Chrysos, Fabien Chaix, Evangelos Vasilakis, Dionisios N. Pnevmatikatos, Manolis Katevenis A Systematic Evaluation of Emerging Mesh-like CMP NoCs. Search on Bibsonomy ANCS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Jan Heller, Michal Havlena, Michal Jancosek, Akihiko Torii, Tomás Pajdla 3D reconstruction from photographs by CMP SfM web service. Search on Bibsonomy MVA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Joy Marie Johnson Slurry abrasive particle agglomeration experimentation and modeling for chemical mechanical planarization (CMP). Search on Bibsonomy 2015   RDF
18Andrea Pellegrini, Valeria Bertacco Cardio: CMP Adaptation for Reliability Through Dynamic Introspective Operation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Pierfrancesco Foglia, Marco Solinas Exploiting replication to improve performances of NUCA-based CMP systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Zhaoyu Dong, Bing Gao, Yinliang Zhao, Shaolong Song, Yanning Du Prophet: A Speculative Multi-threading Execution Model with Architectural Support Based on CMP. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
18Regin Cabacas, In-ho Ra CMP: A Context Information-based Routing Scheme with Energy-based Message Prioritization for Delay Tolerant Networks. Search on Bibsonomy Int. J. Fuzzy Log. Intell. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Mingli Xie, Dong Tong 0001, Kan Huang, Xu Cheng 0001 Improving system throughput and fairness simultaneously in shared memory CMP systems via Dynamic Bank Partitioning. Search on Bibsonomy HPCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Jae-Yeon Won, Xi Chen, Paul Gratz, Jiang Hu, Vassos Soteriou Up by their bootstraps: Online learning in Artificial Neural Networks for CMP uncore power management. Search on Bibsonomy HPCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Mengjie Mao, Guangyu Sun 0003, Yong Li 0009, Alex K. Jones, Yiran Chen 0001 Prefetching techniques for STT-RAM based last-level cache in CMP systems. Search on Bibsonomy ASP-DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Hadrien A. Clarke, Antoine Trouvé, Kazuaki J. Murakami Accelerated design space pruning for CMP memory architectures: work-in-progress. Search on Bibsonomy SpringSim (HPS) The full citation details ... 2014 DBLP  BibTeX  RDF
18Martti Forsell, Jussi Roivainen, Ville Leppänen Prototyping the MBTAC Processor for the REPLICA CMP. Search on Bibsonomy IPDPS Workshops The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Jaehyuk Huh 0001, Changkyu Kim, Hazim Shafi, Lixin Zhang 0002, Doug Burger, Stephen W. Keckler Author retrospective for a NUCA substrate for flexible CMP cache sharing. Search on Bibsonomy ICS 25th Anniversary The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18William J. Dally, James D. Balfour Author retrospective for design tradeoffs for tiled CMP on-chip networks. Search on Bibsonomy ICS 25th Anniversary The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Kunle Olukotun, Lance Hammond, Mark Willey Author's retrospective for: improving the performance of speculatively parallel applications on the hydra CMP. Search on Bibsonomy ICS 25th Anniversary The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Qi Zhong, Jing Wang 0055, Keyi Wang Object-centric bank partition for reducing memory interference in CMP systems. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Chi-Yuan Liu, Yao-Wen Chang Simultaneous EUV flare- and CMP-aware placement. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Makoto Miura, Junichi Fujikata, Masataka Noguchi, Yasuhiko Arakawa Ultra-small butt-joint Ge photodetector featuring self-aligned in-situ doping and CMP-free novel CVD process. Search on Bibsonomy OFC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Chi-Yuan Liu, Hui-Ju Katherine Chiang, Yao-Wen Chang, Jie-Hong R. Jiang Simultaneous EUV Flare Variation Minimization and CMP Control with Coupling-Aware Dummification. Search on Bibsonomy DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Zhi Chen 0008, Meikang Qiu SPM-aware scheduling for nested loops in CMP systems. Search on Bibsonomy SIGBED Rev. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Guohong Li, Zhenyu Liu 0001, Sanchuan Guo, Dongsheng Wang 0002 Bayesian Theory Based Adaptive Proximity Data Accessing for CMP Caches. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Carlos Luque, Miquel Moretó, Francisco J. Cazorla, Mateo Valero Fair CPU time accounting in CMP+SMT processors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Xi Chen, Zheng Xu 0006, Hyungjun Kim, Paul Gratz, Jiang Hu, Michael Kishinevsky, Ümit Y. Ogras In-network monitoring and control policy for DVFS of CMP networks-on-chip and last level caches. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Chenglong Liao, Dan Guo, Shizhu Wen, Xinchun Lu, Jianbin Luo Stress analysis of Cu/low-k interconnect structure during whole Cu-CMP process using finite element method. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Praveen Yedlapalli, Jagadish Kotra, Emre Kultursay, Mahmut T. Kandemir, Chita R. Das, Anand Sivasubramaniam Meeting midway: Improving CMP performance with memory-side prefetching. Search on Bibsonomy PACT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Jason Chew, Uday Mahajan, Rajeev Bajaj, Iad Mirshad, Robert Newcomb 0002 Characterization and optimization of a TSV CMP reveal process using a novel wafer inspection technique for detecting sub-monolayer surface contamination. Search on Bibsonomy 3DIC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Jason Chew, Uday Mahajan, Rajeev Bajaj, Iad Mirshad, Robert Newcomb 0002 Characterization and optimization of a TSV CMP reveal process using a novel wafer inspection technique for detecting sub-monolayer surface contamination. Search on Bibsonomy 3DIC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Jui-Chin Chen, John H. Lau, Tzu-Chien Hsu, Chien-Chou Chen, Pei-Jer Tzeng, Po-Chih Chang, Chun-Hsien Chien, Yiu-Hsiang Chang, Shang-Chun Chen, Yu-Chen Hsin, Sue-Chen Liao, Cha-Hsin Lin, Tzu-Kun Ku, Ming-Jer Kao Challenges of Cu CMP of TSVs and RDLs fabricated from the backside of a thin wafer. Search on Bibsonomy 3DIC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Muhammad Nadeem, HeeJong Park 0001, Zhenmin Li, Morteza Biglari-Abhari, Zoran Salcic GALS-CMP: Chip-Multiprocessor for GALS Embedded Systems. Search on Bibsonomy ARCS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Alejandro Rico, Alex Ramírez, Mateo Valero Trace filtering of multithreaded applications for CMP memory simulation. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Adrian Kosowski, Przemyslaw Uznanski Splittable Single Source-Sink Routing on CMP Grids: A Sublinear Number of Paths Suffice. Search on Bibsonomy Euro-Par The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Shao-Yun Fang, Chung-Wei Lin, Guang-Wan Liao, Yao-Wen Chang Simultaneous OPC- and CMP-aware routing based on accurate closed-form modeling. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Johannes Mayr, Hubert Gattringer, Hartmut Bremer Bipedal balancing control based on the centroidal momentum pivot and the best COM-CMP regulator. Search on Bibsonomy IECON The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Pablo Prieto, Valentin Puente, José-Ángel Gregorio CMP off-chip bandwidth scheduling guided by instruction criticality. Search on Bibsonomy ICS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Takakazu Ikeda, Kenji Kise Application Aware DRAM Bank Partitioning in CMP. Search on Bibsonomy ICPADS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Guohong Li, Zhenyu Liu 0001, Sanchuan Guo, Chongmin Li, Dongsheng Wang 0002 Bayesian theory oriented Optimal Data-Provider Selection for CMP. Search on Bibsonomy ICCD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Shun-Ming Syu, Yu-Hui Shao, Ing-Chao Lin High-endurance hybrid cache design in CMP architecture with cache partitioning and access-aware policy. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Pablo Abad Fidalgo, Valentin Puente, Lucia G. Menezo, José-Ángel Gregorio Adaptive-Tree Multicast: Efficient Multidestination Support for CMP Communication Substrate. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Eddy Z. Zhang, Yunlian Jiang, Xipeng Shen The Significance of CMP Cache Sharing on Contemporary Multithreaded Applications. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Pablo Abad Fidalgo, Valentin Puente, José-Ángel Gregorio Balancing Performance and Cost in CMP Interconnection Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Tomi Kause, Martin Peylo Internet X.509 Public Key Infrastructure - HTTP Transfer for the Certificate Management Protocol (CMP). Search on Bibsonomy RFC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Xi Chen, Zheng Xu 0006, Hyungjun Kim, Paul Gratz, Jiang Hu, Michael Kishinevsky, Ümit Y. Ogras In-network Monitoring and Control Policy for DVFS of CMP Networks-on-Chip and Last Level Caches. Search on Bibsonomy NOCS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Ramon Bertran, Alper Buyuktosunoglu, Meeta Sharma Gupta, Marc González 0001, Pradip Bose Systematic Energy Characterization of CMP/SMT Processor Systems via Automated Micro-Benchmarks. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Xiaoping Huang, Xiaoya Fan, Shengbing Zhang, Yuhui Chen DLWAP-buffer: A Novel HW/SW Architecture to Alleviate the Cache Coherence on Streaming-like Data in CMP. Search on Bibsonomy MCSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Min Kyu Jeong, Doe Hyun Yoon, Dam Sunwoo, Michael B. Sullivan 0001, Ikhwan Lee, Mattan Erez Balancing DRAM locality and parallelism in shared memory CMP systems. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Samuel Rodrigo, Frank Olaf Sem-Jacobsen, Hervé Tatenguem, Tor Skeie, Davide Bertozzi Cost-Effective Contention Avoidance in a CMP with Shared Memory Controllers. Search on Bibsonomy Euro-Par The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Paul Dubrulle, Stéphane Louise, Renaud Sirdey, Vincent David A low-overhead dedicated execution support for stream applications on shared-memory cmp. Search on Bibsonomy EMSOFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Jiayin Li, Zhiyong Zhang, Meikang Qiu, Ping Zhang, Gang Quan, Yongxin Zhu 0001 Optimizing Scheduling in Embedded CMP Systems with Phase Change Memory. Search on Bibsonomy ICPADS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Ran Manevich, Israel Cidon, Avinoam Kolodny Handling global traffic in future CMP NoCs. Search on Bibsonomy SLIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Huarui Zhang, Chu-Ren Huang, Francesca Quattri SMR-Cmp: Square-Mean-Root Approach to Comparison of Monolingual Contrastive Corpora. Search on Bibsonomy COLING (Demos) The full citation details ... 2012 DBLP  BibTeX  RDF
18Aaron Gower-Hall, Tamba Gbondo-Tugbawa, JenPin Weng, Wei-tsu Tseng, Laertis Economikos, Toshiaki Yanagisawa, Pavan Bashaboina, Stephen Greco Understanding, modeling, and detecting pooling hotspots in copper CMP. Search on Bibsonomy ISQED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Rema Padman, Erika Beam, Rachel Szewczyk, Arun Kumar 0002, Amit Anil Nanavati, Pawan Khera EHR Usability: Experiments in the Voice World with a Spoken Web enabled Care Management Platform (SW-CMP). Search on Bibsonomy AMIA The full citation details ... 2012 DBLP  BibTeX  RDF
18Juan Fang, Shuai Wang Energy-Aware Cache Partition Based on Way-Adaptable in CMP. Search on Bibsonomy PDCAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18J. A. Davis, Gihan R. Mudalige, Simon D. Hammond, J. A. Herdman, I. Miller, Stephen A. Jarvis Predictive analysis of a hydrodynamics application on large-scale CMP clusters. Search on Bibsonomy Comput. Sci. Res. Dev. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Xiaowei Jiang, Niti Madan, Li Zhao 0002, Mike Upton, Ravishankar R. Iyer 0001, Srihari Makineni, Donald Newell, Yan Solihin, Rajeev Balasubramonian CHOP: Integrating DRAM Caches for CMP Server Platforms. Search on Bibsonomy IEEE Micro The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Marek Tudruj, Lukasz Masko, Miroslaw Thor Multi-CMP system with data communication on the fly. Search on Bibsonomy J. Supercomput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Antoni Roca 0001, José Flich, Federico Silla, José Duato A low-latency modular switch for CMP systems. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Mario R. Casu, Massimo Ruo Roch, Sergio Tota, Maurizio Zamboni A NoC-based hybrid message-passing/shared-memory approach to CMP design. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Mukaram M. Khan, Alexander D. Rast, Javier Navaridas, X. Jin, Luis A. Plana, Mikel Luján, Steve Temple, Cameron Patterson, Dominic Richards, John V. Woods, José Miguel-Alonso, Stephen B. Furber Event-driven configuration of a neural network CMP system over an homogeneous interconnect fabric. Search on Bibsonomy Parallel Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Samuel Rodrigo, José Flich, Antoni Roca 0001, Simone Medardoni, Davide Bertozzi, Jesús Camacho Villanueva, Federico Silla, José Duato Cost-Efficient On-Chip Routing Implementations for CMP and MPSoC Systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Ahmad Samih, Yan Solihin, Anil Krishna Evaluating placement policies for managing capacity sharing in CMP architectures with private caches. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Carole-Jean Wu, Margaret Martonosi Adaptive timekeeping replacement: Fine-grained capacity management for shared CMP caches. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18S. Kumar, T. K. Garg, V. P. Wani A comprehensive mathematical model to calculate polish time for oxide chemical mechanical process (CMP). Search on Bibsonomy Int. J. Manuf. Technol. Manag. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Ingrid De Wolf, Kris Croes, O. Varela Pedreira, Riet Labie, Augusto Redolfi, M. Van De Peer, Kris Vanstreels, C. Okoro, Bart Vandevelde, Eric Beyne Cu pumping in TSVs: Effect of pre-CMP thermal budget. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Jung Ho Ahn, Raymond G. Beausoleil, Nathan L. Binkert, Al Davis, Marco Fiorentino, Norman P. Jouppi, Moray McLaren, Matteo Monchiero, Naveen Muralimanohar, Robert Schreiber, Dana Vantrease CMOS Nanophotonics: Technology, System Implications, and a CMP Case Study. Search on Bibsonomy Low Power Networks-on-Chip The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Francisco Triviño, Francisco José Alfaro, José L. Sánchez 0002, José Flich NoC Reconfiguration for CMP Virtualization. Search on Bibsonomy NCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Baik Song An, Ki Hwan Yum, Eun Jung Kim 0001 Scalable and Efficient Bounds Checking for Large-Scale CMP Environments. Search on Bibsonomy PACT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Richard Beranek, Henry Fung, Mojtaba Ahmadi A walking stability controller with disturbance rejection based on CMP criterion and Ground Reaction Force feedback. Search on Bibsonomy IROS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Andrew Cassidy, Kai Yu, Haolang Zhou, Andreas G. Andreou A high-level analytical model for application specific CMP design exploration. Search on Bibsonomy DATE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Jishen Zhao, Xiangyu Dong, Yuan Xie 0001 An energy-efficient 3D CMP design with fine-grained voltage scaling. Search on Bibsonomy DATE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Pengju Shang, Jun Wang 0001 A Novel Power Management for CMP Systems in Data-Intensive Environment. Search on Bibsonomy IPDPS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Ning Deng 0002, Weixing Ji, Jiaxin Li, Qi Zuo A Semi-automatic Scratchpad Memory Management Framework for CMP. Search on Bibsonomy APPT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 792 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license