The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DEBUG with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1965-1984 (15) 1985-1989 (20) 1990 (21) 1991-1992 (21) 1993 (21) 1994 (16) 1995-1996 (20) 1997-1998 (29) 1999 (30) 2000 (21) 2001 (31) 2002 (39) 2003 (48) 2004 (63) 2005 (52) 2006 (77) 2007 (105) 2008 (95) 2009 (65) 2010 (42) 2011 (38) 2012 (32) 2013 (33) 2014 (34) 2015 (39) 2016 (30) 2017 (26) 2018 (22) 2019 (24) 2020 (26) 2021 (21) 2022 (31) 2023-2024 (19)
Publication types (Num. hits)
article(226) incollection(2) inproceedings(970) phdthesis(8)
Venues (Conferences, Journals, ...)
ITC(59) DAC(35) DATE(35) CoRR(28) VTS(28) IEEE Des. Test Comput.(26) FPL(20) MTV(16) IEEE Trans. Very Large Scale I...(15) ISQED(15) SIGCSE(14) ETS(13) FPT(13) IEEE Trans. Software Eng.(12) IEEE Trans. Comput. Aided Des....(11) ISSTA(11) More (+10 of total 475)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 883 occurrences of 557 keywords

Results
Found 1206 publication records. Showing 1206 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Yanhua Cao, Osama Shoubber, Pallavi Jesrani Automatic Debug Quantification for Workload Balance and Progress Tracking. Search on Bibsonomy MTV The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Ankit Jindal, Binod Kumar 0001, Nitish Jindal, Masahiro Fujita, Virendra Singh Silicon Debug with Maximally Expanded Internal Observability Using Nearest Neighbor Algorithm. Search on Bibsonomy ISVLSI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Yuting Cao, Hernan M. Palombo, Sandip Ray, Hao Zheng 0001 Enhancing Observability for Post-Silicon Debug with On-chip Communication Monitors. Search on Bibsonomy ISVLSI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Al-Shahna Jamal, Jeffrey Goeders, Steven J. E. Wilton Architecture Exploration for HLS-Oriented FPGA Debug Overlays. Search on Bibsonomy FPGA The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Normann Decker, Boris Dreyer, Philip Gottschling, Christian Hochberger, Alexander Lange, Martin Leucker, Torben Scheffel, Simon Wegener, Alexander Weiss Online analysis of debug trace data for embedded systems. Search on Bibsonomy DATE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Woo Suk Shin, Nakhoon Baek Debug Output Features for OpenGL SC 2.0 Safety Critical Profile. Search on Bibsonomy ICISA The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Robert Hale, Brad L. Hutchings Enabling Low Impact, Rapid Debug for Highly Utilized FPGA Designs. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Al-Shahna Jamal, Jeffrey Goeders, Steven J. E. Wilton An FPGA Overlay Architecture Supporting Rapid Implementation of Functional Changes during On-Chip Debug. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Matthew B. Ashcraft, Jeffrey Goeders Unified On-Chip Software and Hardware Debug for HLS-Accelerated Programs. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Robert Hale, Brad L. Hutchings Distributed-Memory Based FPGA Debug: Design Timing Impact. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Virginia J. Flood, David DeLiema, Benedikt W. Harrer, Dor Abrahamson Enskilment in the Digital Age: The Interactional Work of Learning to Debug. Search on Bibsonomy ICLS The full citation details ... 2018 DBLP  BibTeX  RDF
16Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat, Arnab Kumar Biswas A novel lightweight hardware-assisted static instrumentation approach for ARM SoC using debug components. Search on Bibsonomy AsianHOST The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Pallav Gupta An Effective Methodology for Automated Diagnosis of Functional Pattern Failures to Support Silicon Debug. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Debjit Pal, Abhishek Sharma, Sandip Ray, Flavio M. de Paula, Shobha Vasudevan Application level hardware tracing for scaling post-silicon debug. Search on Bibsonomy DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Andrew James Becker Satisfiability-Based Methods for Digital Circuit Design, Debug, and Optimization. Search on Bibsonomy 2018   DOI  RDF
16Hyunggoy Oh, Taewoo Han, Inhyuk Choi, Sungho Kang 0001 An On-Chip Error Detection Method to Reduce the Post-Silicon Debug Time. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Hyunggoy Oh, Inhyuk Choi, Sungho Kang 0001 DRAM-Based Error Detection Method to Reduce the Post-Silicon Debug Time for Multiple Identical Cores. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Nakhoon Baek Adding Advanced Debug Output Features to Single Board Mobile Computing Devices. Search on Bibsonomy Wirel. Pers. Commun. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Nik Sultana, Salvator Galea, David Greaves, Marcin Wójcik, Noa Zilberman, Richard G. Clegg, Luo Mai, Richard Mortier, Peter R. Pietzuch, Jon Crowcroft, Andrew W. Moore 0002 Extending programs with debug-related features, with application to hardware development. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
16Moritz Beller, Niels Spruit, Andy Zaidman How developers debug. Search on Bibsonomy PeerJ Prepr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16John Adler, Andreas G. Veneris Leveraging Software Configuration Management in Automated RTL Design Debug. Search on Bibsonomy IEEE Des. Test The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Lom-Messan Hillah, Fabrice Kordon Petri Nets Repository: A Tool to Benchmark and Debug Petri Net Tools. Search on Bibsonomy Petri Nets The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Henryk Krawczyk, Dawid Zima Extending Continuous Integration with Post-mortem Debug Automation of Unhandled Exceptions Occurred in Kernel or User Mode Applications. Search on Bibsonomy DepCoS-RELCOMEX The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Mathieu Da Silva, Marie-Lise Flottes, Giorgio Di Natale, Bruno Rouzeyre, Paolo Prinetto, Marco Restifo Scan chain encryption for the test, diagnosis and debug of secure circuits. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16John Adler, Ryan Berryhill, Andreas G. Veneris An extensible perceptron framework for revision RTL debug automation. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16João Amarante, João Paulo Barros Exploring USB Connection Vulnerabilities on Android Devices - Breaches using the Android Debug Bridge. Search on Bibsonomy SECRYPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Surya Piplani, Humberto Fonseca, Vivek Mohan Sharma, Daniele Cervini, David Hardisty Test and Debug Strategy for High Speed JESD204B Rx PHY. Search on Bibsonomy ATS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Pavan Kumar Bussa, Jeffrey Goeders, Steven J. E. Wilton Accelerating in-system FPGA debug of high-level synthesis circuits using incremental compilation techniques. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Sahil Verma, Subhajit Roy 0001 Synergistic debug-repair of heap manipulations. Search on Bibsonomy ESEC/SIGSOFT FSE The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Jeffrey Goeders Enabling Long Debug Traces of HLS Circuits Using Bandwidth-Limited Off-Chip Storage Devices. Search on Bibsonomy FCCM The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Yuting Cao, Hao Zheng 0001, Hernan M. Palombo, Sandip Ray, Jin Yang 0006 A Post-Silicon Trace Analysis Approach for System-on-Chip Protocol Debug. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Young-Woo Lee, Inhyuk Choi, Kang-Hoon Oh, James Jinsoo Ko, Sungho Kang Test item priority estimation for high parallel test efficiency under ATE debug time constraints. Search on Bibsonomy ITC-Asia The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Shuo-Lian Hong, Kuen-Jong Lee A run-pause-resume silicon debug technique for multiple clock domain systems. Search on Bibsonomy ITC-Asia The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Yipeng Yuan, Zhihua Feng, Xuegong Zhou An on-line debug method for FPGAs. Search on Bibsonomy ASICON The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Amir Masoud Gharehbaghi, Masahiro Fujita A new approach for selecting inputs of logic functions during debug. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Hyunggoy Oh, Heetae Kim, Jaeil Lim, Sungho Kang 0001 A selective error data capture method using on-chip DRAM for silicon debug of multi-core design. Search on Bibsonomy ISOCC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Yun Cheng, Huawei Li 0001, Ying Wang 0001, Yingke Gao, Bo Liu 0018, Xiaowei Li 0001 Flip-flop clustering based trace signal selection for post-silicon debug. Search on Bibsonomy VTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Marcel Böhme, Ezekiel O. Soremekun, Sudipta Chattopadhyay 0001, Emamurho Ugherughe, Andreas Zeller How developers debug software the DbgBench dataset: poster. Search on Bibsonomy ICSE (Companion Volume) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Shuo-Lian Hong, Kuen-Jong Lee A run-pause-resume silicon debug technique with cycle granularity for multiple clock domain systems. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Binod Kumar 0001, Kanad Basu, Ankit Jindal, Brajesh Pandey, Masahiro Fujita A Formal Perspective on Effective Post-silicon Debug and Trace Signal Selection. Search on Bibsonomy VDAT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Sabyasachi Deyati Scalable algorithms and design for debug hardware for test, validation and security of mixed signal/rf circuits and systems. Search on Bibsonomy 2017   RDF
16Fatemeh Eslami, Steven J. E. Wilton An Improved Overlay and Mapping Algorithm Supporting Rapid Triggering for FPGA Debug. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Mohammad Hashem Haghbayan, Bijan Alizadeh A dynamic specification to automatically debug and correct various divider circuits. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Kamran Rahmani, Sudhi Proch, Prabhat Mishra 0001 Efficient Selection of Trace and Scan Signals for Post-Silicon Debug. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Boyang Du, Matteo Sonza Reorda, Luca Sterpone, Luis Parra, Marta Portela-García, Almudena Lindoso, Luis Entrena Online Test of Control Flow Errors: A New Debug Interface-Based Approach. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Jinyong Lee, Ingoo Heo, Yongje Lee, Yunheung Paek Efficient Security Monitoring with the Core Debug Interface in an Embedded Processor. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Fatemeh Eslami, Eddie Hung, Steven J. E. Wilton Enabling Effective FPGA Debug using Overlays: Opportunities and Challenges. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
16Farid Feyzi, Esmaeel Nikravan, Saeed Parsa FPA-Debug: Effective Statistical Fault Localization Considering Fault-proneness Analysis. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
16Diomidis Spinellis Developer, Debug Thyself. Search on Bibsonomy IEEE Softw. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Bruce Querbach, Rahul Khanna, Sudeep Puligundla, David Blankenbeckler, Joseph Crop, Patrick Yin Chiang Architecture of a Reusable BIST Engine for Detection and Autocorrection of Memory Failures and for IO Debug, Validation, Link Training, and Power Optimization on 14-nm SoC. Search on Bibsonomy IEEE Des. Test The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Boyang Du, Ernesto Sánchez 0001, Matteo Sonza Reorda, Julio Pérez Acle, Anton Tsertov FPGA-controlled PCBA power-on self-test using processor's debug features. Search on Bibsonomy DDECS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Binod Kumar 0001, Ankit Jindal, Virendra Singh A trace signal selection algorithm for improved post-silicon debug. Search on Bibsonomy EWDTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Siamack BeigMohammadi, Bijan Alizadeh Combinational trace signal selection with improved state restoration for post-silicon debug. Search on Bibsonomy DATE The full citation details ... 2016 DBLP  BibTeX  RDF
16Jing Zhang, Lars-Johan Fritz, Liang Liu 0002, Erik Larsson Compressor design for silicon debug. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Andrey Borodin, Sergey Mirvoda, Sergey Porshnev Database Index Debug Techniques: A Case Study. Search on Bibsonomy BDAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Fábio Petrillo, Zéphyrin Soh, Foutse Khomh, Marcelo Soares Pimenta, Carla Maria Dal Sasso Freitas, Yann-Gaël Guéhéneuc Understanding interactive debugging with Swarm Debug Infrastructure. Search on Bibsonomy ICPC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Amirhossein Shahshahani, Andrey Tolstikhin, Zeljko Zilic Enabling Debug in IoT Wireless Development and Deployment with Security Considerations. Search on Bibsonomy NATW The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16John Adler, Ryan Berryhill, Andreas G. Veneris Revision debug with non-linear version history in regression verification. Search on Bibsonomy IVSW The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Jeffrey Goeders, Steven J. E. Wilton Quantifying observability for in-system debug of high-level synthesis circuits. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Xinrui Guo SmartDebug: an interactive debug assistant for Java. Search on Bibsonomy SIGSOFT FSE The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Georgios Tzimpragos, Da Cheng, Stephanie Tapp, Balakrishna Jayadev, Amitava Majumdar 0002 Application debug in FPGAs in the presence of multiple asynchronous clocks. Search on Bibsonomy FPT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Jose P. Pinilla, Steven J. E. Wilton Enhanced source-level instrumentation for FPGA in-system debug of High-Level Synthesis designs. Search on Bibsonomy FPT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Prabanjan Komari, Ranga Vemuri A novel simulation based approach for trace signal selection in silicon debug. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Wen Chen 0016, Jayanta Bhadra Striking a balance between SoC security and debug requirements. Search on Bibsonomy SoCC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Mike Ricchetti, Eric Rentschler, Amit Majumdar 0002, Mike Lowe, Mark LaVine, Skip Lindsey, Sharad Kumar Special panel session IIB: "System validation and silicon debug - Is standardization possible?". Search on Bibsonomy VTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Vítor Silva 0003, Leonardo Neves, Renan Souza 0001, Alvaro L. G. A. Coutinho, Daniel de Oliveira 0001, Marta Mattoso Integrating Domain-Data Steering with Code-Profiling Tools to Debug Data-Intensive Workflows. Search on Bibsonomy WORKS@SC The full citation details ... 2016 DBLP  BibTeX  RDF
16Debjit Pal, Shobha Vasudevan Symptomatic Bug Localization for Functional Debug of Hardware Designs. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Pawan Sehgal, Aditi Sharma, Akhilesh C. Mishra, Rangarajan Ramanujam, Sujay Deb An effective and efficient algorithm to analyse and debug clock propagation issues. Search on Bibsonomy VDAT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Hyunggoy Oh, Inhyuk Choi, Sungho Kang 0001 A new online test and debug methodology for automotive camera image processing system. Search on Bibsonomy APCCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Abhishek Basak, Swarup Bhunia, Sandip Ray Exploiting design-for-debug for flexible SoC security architecture. Search on Bibsonomy DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Mehdi Dehbashi, Görschwin Fey Transaction-based online debug for NoC-based multiprocessor SoCs. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Xinrui Guo, Min Zhou 0001, Xiaoyu Song, Ming Gu 0001, Jiaguang Sun 0001 First, Debug the Test Oracle. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Jeffrey B. Goeders, Steven J. E. Wilton Allowing Software Developers to Debug HLS Hardware. Search on Bibsonomy CoRR The full citation details ... 2015 DBLP  BibTeX  RDF
16Priyadarsan Patra, Chinna Prudvi Fabrics on Die: Where Function, Debug and Test Meet. Search on Bibsonomy NOCS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Yuanwen Huang, Anupam Chattopadhyay, Prabhat Mishra 0001 Trace Buffer Attack: Security versus observability study in post-silicon debug. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Joshua S. Monson, Brad L. Hutchings Using Source-Level Transformations to Improve High-Level Synthesis Debug and Validation on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Yutaka Tamiya, Yoshinori Tomita, Toshiyuki Ichiba, Kaoru Kawamura Sequence-based In-Circuit Breakpoints for Post-Silicon Debug (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Yuan-Chuan Steven Chen, Dave Budka, Auston Gibertini, Joe Davis Power debug on Fully Integrated Voltage Regulators (FIVR) circuitry introduced deep low power states. Search on Bibsonomy IRPS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16David Lin, Eswaran S, Sharad Kumar, Eric Rentschler, Subhasish Mitra Quick error detection tests with fast runtimes for effective post-silicon validation and debug. Search on Bibsonomy DATE The full citation details ... 2015 DBLP  BibTeX  RDF
16Hsin-Chen Chen, Cheng-Rong Wu, Katherine Shu-Min Li, Kuen-Jong Lee A breakpoint-based silicon debug technique with cycle-granularity for handshake-based SoC. Search on Bibsonomy DATE The full citation details ... 2015 DBLP  BibTeX  RDF
16Patrick Oury, Nick Heaton, Stewart Penman Methodology to verify, debug and evaluate performances of NoC based interconnects. Search on Bibsonomy NoCArc@MICRO The full citation details ... 2015 DBLP  BibTeX  RDF
16Amin Vali, Nicola Nicolici Satisfiability-Based Analysis of Failing Traces during Post-silicon Debug. Search on Bibsonomy NATW The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Zdravko Panjkov, Andreas Wasserbauer, Timm Ostermann, Richard Hagelauer Hybrid FPGA debug approach. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Yutaka Tamiya, Yoshinori Tomita, Toshiyuki Ichiba, Kaoru Kawamura Data-triggered breakpoint for in-circuit debug without re-implementation. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Nakhoon Baek Adding Advanced Debug Output Features to an Existing OpenGL ES 1.1 Implementation. Search on Bibsonomy ICITCS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16André B. M. Gomes, Fredy A. M. Alves, Ricardo S. Ferreira 0001, José Augusto Miranda Nacif Increasing Observability in Post-Silicon Debug Using Asymmetric Omega Networks. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Helder H. Avelar, Paulo F. Butzen, Renato P. Ribas Automatic circuit generation for sequential logic debug. Search on Bibsonomy ICECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Anita Banerjee, Julia Fedorova, Uri Levy, Alexandr Kurylev, Sonal Sharma, Michael Stoner, Robert Ioffe Propel with OpenCL: a deep dive workshop to create, debug, analyze and optimize OpenCL applications using Intel tools: a tutorial. Search on Bibsonomy IWOCL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Jeffrey B. Goeders, Steven J. E. Wilton Using Dynamic Signal-Tracing to Debug Compiler-Optimized HLS Circuits on FPGAs. Search on Bibsonomy FCCM The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Joshua S. Monson, Brad L. Hutchings Using source-to-source compilation to instrument circuits for debug with High Level Synthesis. Search on Bibsonomy FPT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Jeffrey Goeders, Steven J. E. Wilton Using Round-Robin Tracepoints to debug multithreaded HLS circuits on FPGAs. Search on Bibsonomy FPT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Fatemeh Eslami, Steven J. E. Wilton An adaptive virtual overlay for fast trigger insertion for FPGA debug. Search on Bibsonomy FPT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Djordje Maksimovic, Andreas G. Veneris, Zissis Poulos Clustering-based revision debug in regression verification. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16André B. M. Gomes, Fredy A. M. Alves, Ricardo S. Ferreira 0001, José Augusto Miranda Nacif Vericonn: a tool to generate efficient interconnection networks for post-silicon debug. Search on Bibsonomy LATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Jerry Backer, David Hély, Ramesh Karri On enhancing the debug architecture of a system-on-chip (SoC) to detect software attacks. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Fernando Pereira, Luís Gomes 0001 Cloud Based IOPT Petri Net Simulator to Test and Debug Embedded System Controllers. Search on Bibsonomy DoCEIS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Mike Ricchetti Innovative practices session 3C: Advances in silicon debug & diagnosis. Search on Bibsonomy VTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Suriya Natarajan Innovative practices session 7C: Mixed signal test and debug. Search on Bibsonomy VTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Benoit Cornu Casper: Using Ghosts to Debug Null Deferences with Dynamic Causality Traces. Search on Bibsonomy ICSE (2) The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Sergej Deutsch, Krishnendu Chakrabarty Test and debug solutions for 3D-stacked integrated circuits. Search on Bibsonomy ITC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 1206 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license