The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ESSCIRC"( http://dblp.L3S.de/Venues/ESSCIRC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/esscirc

Publication years (Num. hits)
2003 (175) 2004 (115) 2005 (128) 2007 (121) 2008 (122) 2009 (113) 2010 (124) 2011 (133) 2012 (121) 2013 (109) 2014 (113) 2015 (102) 2016 (124) 2017 (96) 2018 (94) 2019 (97) 2021 (125) 2022 (132) 2023 (129)
Publication types (Num. hits)
inproceedings(2254) proceedings(19)
Venues (Conferences, Journals, ...)
ESSCIRC(2273)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 2273 publication records. Showing 2273 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Eva Kempf, Pierre Labeye, Philippe Grosse, Frédéric Boeuf, Stéphane Monfray, Paul G. Charette, Régis Orobtchouk Design and Fabrication of a Ring-Coupled Mach-Zehnder Interferometer Gyroscope. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Oner Hanay, Erkan Bayram, Ahmed Hamed 0002, Renato Negra FDDAC-based Transmitter with 2 GHz Modulation Bandwidth and 8 Gbit/s Data Rate. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Marcello De Matteis, Andrea Baschirotto, Elia A. Vallicelli 309-µW 40-MHz 20-dB-Gain Analog Filter in 28nm-CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Markus Dielacher, Martin Flatscher, Reinhard Gabl, Richard Gaggl, Dirk Offenberg, Jens Prima Advancements in indirect Time of Flight image sensors in front side illuminated CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Peter Deaville, Bonan Zhang, Lung-Yen Chen, Naveen Verma A Maximally Row-Parallel MRAM In-Memory-Computing Macro Addressing Readout Circuit Sensitivity and Area. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Peishuo Li, Tom R. Molderez, Marian Verhelst A 96-channel 40nm CMOS Fully-Integrated Potentiostat for Electrochemical Monitoring. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Amita Rawat, Krishna K. Bhuwalka, Philippe Matagne, Bjorn Vermeersch, Hao Wu, Geert Hellings, Julien Ryckaert, Changze Liu Performance Trade-Off Scenarios for GAA Nanosheet FETs Considering Inner-spacers and Epi-induced Stress: Understanding & Mitigating Process Risks. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Qiang Yu, Xiong Zhou, Kefeng Hu, Zijian Huang 0004, Haiwen Chen, Xin Si, Jinda Yang, Qiang Li 0021 A 9.08 ENOB 10b 400MS/s Subranging SAR ADC with Subsetted CDAC and PDAS in 40nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Heiko Bürkle, Tobias Klotz, Reiner Krapf, Jens Anders A 0.1 MHz to 200 MHz high-voltage CMOS transceiver for portable NMR systems with a maximum output current of 2.0 App. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Thomas Benz, Luca Bertaccini, Florian Zaruba, Fabian Schuiki, Frank K. Gürkaynak, Luca Benini A 10-core SoC with 20 Fine-Grain Power Domains for Energy-Proportional Data-Parallel Processing over a Wide Voltage and Temperature Range. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Huajun Zhang 0001, Nuriel Rozsa, Marco Berkhout, Qinwen Fan A -109.1 dB/-98 dB THD/THD+N Chopper Class-D Amplifier with >83.7 dB PSRR Over the Entire Audio Band. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Viveka Konandur Rajanna, Sachin Taneja, Massimo Alioto SRAM with In-Memory Inference and 90% Bitline Activity Reduction for Always-On Sensing with 109 TOPS/mm2 and 749-1, 459 TOPS/W in 28nm. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Kyung-Chan An, Neelakantan Narasimman, Tony Tae-Hyoung Kim A 0.6-to-1.2 V Scaling Friendly Discrete-Time OTA-Free ΔΣ-ADC for IoT Applications. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Imran Bashir, Dirk Leipold, Mike Asker, Ali Esmailiyan, Elena Blokhina, David Redmond, Panagiotis Giounanlis, Dennis Andrade-Miceli, Robert Bogdan Staszewski Bias Generation and Calibration of CMOS Charge Qubits at 3.5 Kelvin in 22-nm FDSOI. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sriram Balamurali, Giovanni Mangraviti, Cheng-Hsueh Tsai, Piet Wambacq, Jan Craninckx A 55-63 GHz fundamental Quad-Core VCO with NMOS-only stacked oscillator in 28 nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Luca Steinweg, Paolo Valerio Testa, Corrado Carta, Frank Ellinger A 213 GHz 2 dBm Output-Power Frequency Quadrupler with 45 dB Harmonic Suppression in 130 nm SiGe BiCMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ayman Sakr, Mohamed Atef Hassan, Jens Anders A 93.1-dB SFDR, 90.3-dB DR, and 1-MS/s CT Incremental Sigma-Delta Modulator Incorporating a Resistive Dual-RTZ FIR DAC. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Nathalie Deltimple, Potereau Manuel, Anthony Ghiotto Fully integrated reflector-based analog predistortion for Ku-band Power Amplifiers Linearization. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jin Jin, Jianhui Wu 0001, Rinaldo Castello, Danilo Manstretta A 400-µW Low-IF IoT Receiver Front-End with Tunable Charge-Sharing Complex Filter. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Chung-Ching Lin, Chase Puglisi, Erfan Ghaderi, Soumen Mohapatra, Deukhyoun Heo, Subhanshu Gupta, Han Yan, Veljko Boljanovic, Danijela Cabric A 4-Element 800MHz-BW 29mW True-Time-Delay Spatial Signal Processor Enabling Fast Beam-Training with Data Communications. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Luigi Fassio, Longyang Lin, Raffaele De Rose, Marco Lanuzza, Felice Crupi, Massimo Alioto A 3.2-pW, 0.2-V Trimming-Less Voltage Reference with 1.4-mV Across-Wafer Total Accuracy. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Donghee Cho, Hongseok Shin, Hyunwoo Park, Sein Oh, Taeju Lee, Sohmyung Ha, Chul Kim, Minkyu Je A Load-Current-Regulating OLED Lamp Driver Using a Hybrid Step-Up Converter with 93.21% Efficiency at a High Conversion Ratio of 4.1. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jean-René Léquepeys, Marc Duranton, Susana Bonnetier, Sandrine Catrou, Richard Fournel, Thomas Ernst 0005, Laurent Hérault, D. Louis, A. Jerraya, Alexandre Valentian, François Perruchot, Thomas Signamarcheix, Elisa Vianello, Carlo Reita Overcoming the Data Deluge Challenges with Greener Electronics. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ali Sheikholeslami The Power of Parallelism in Stochastic Search for Global Optimum: Keynote Paper. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sylvain Clerc, Kedar Janardan Dhori, Robin M. Wilson, Rohit Goel, Sébastien Marchal, Franck Pourchon, Christian Dutto, Ricardo Gomez Gomez Circuit Monitoring Across Design Life-Cycle in 28nm FD-SOI and 40nm Bulk CMOS technologies. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Xinrui Guo, Xiaoyang Ma, Franz Müller 0001, Ricardo Olivo, Juejian Wu, Kai Ni 0004, Thomas Kämpfe, Yongpan Liu, Huazhong Yang, Xueqing Li Exploiting FeFET Switching Stochasticity for Low-Power Reconfigurable Physical Unclonable Function. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Laura Bégon-Lours, Mattia Halter, Youri Popoff, Zhenming Yu, Donato Francesco Falcone, Bert Jan Offrein High-Conductance, Ohmic-like HfZrO4 Ferroelectric Memristor. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Gicheol Shin, Donguk Seo, Jaerok Kim, Johnny Rhe, Eunyoung Lee, Seonho Kim, Soyoun Jeong, Jong Hwan Ko, Yoonmyung Lee A Charge-Domain Computation-In-Memory Macro with Versatile All-Around-Wire-Capacitor for Variable-Precision Computation and Array-Embedded DA/AD Conversions. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Panagiotis Bousoulas, Ch. Papakonstantinopoulos, Dimitris Tsoukalas Emulating artificial mechanoreceptor functionalities from SiO2-based memristor and PDMS stretchable sensor for artificial skin applications. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hyo-Jin Park 0002, Joo-Mi Cho, Hyunji Choi, Esun Baik, Jeeyoung Shin, Sung-Wan Hong A 18 µA Rail-to-Rail Class-AB Operational Amplifier with a High-Slew Miller Compensation (HSMC) Technique with 240% Settling Time Reduction in 0.18 µm. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Kristof Dens, Joren Vaes, Simon Ooms, Martin Wagner, Patrick Reynaert A PAM4 Dielectric Waveguide Link in 28 nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yu-Tung Liu, ChuKing Kung, Ming-Hang Hsieh, Hsiu-Wen Wang, Chun-Pin Lin, Chao-Yang Yu, Chi-Shi Chen, Tzi-Dar Chiueh A 1.625 TOPS/W SOC for Deep CNN Training and Inference in 28nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hojun Yoon, Wonjoo Jung, Jaewoo Park, Jindo Byun, Hyungmin Jin, Hyunyoon Cho, Youngmin Kim, Baek-Jin Lim, Young-Chul Cho, Youngdon Choi, Jung-Hwan Choi, Hyungjong Ko, Changsik Yoo, Sang-Hyun Lee A 3.2-12.8Gb/s Duty-Cycle Compensating Quadrature Error Corrector for DRAM Interfaces, With Fast Locking and Low Power Characteristics. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Dawei Mai, Yann Donnelly, Michael Peter Kennedy, Stefano Tulisi, James Breslin, Patrick Griffin, Michael Connor, Stephen Brookes, Brian Shelly, Michael Keaveney Experimental Verification of Wandering Spur Suppression Technique in a 4.9 GHz Fractional-N Frequency Synthesizer. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jean-Philippe Noel, M. Pezzin, Jean-Frédéric Christmann, Lorenzo Ciampolini, M. Le Coadou, M. Diallo, Florent Lepin, B. Blampey, Simone Bacles-Min, R. Wacquez, Bastien Giraud A Near-Instantaneous and Non-Invasive Erasure Design Technique to Protect Sensitive Data Stored in Secure SRAMs. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zheng Sun, Dingxin Xu, Junjun Qiu, Zezheng Liu, Yuncheng Zhang, Hongye Huang, Hanli Liu, Bangan Liu, Zheng Li 0021, Jian Pang, Atsushi Shirane, Kenichi Okada A 0.25 mm2 BLE Transmitter with Direct Antenna Interface and 19% System Efficiency Using Duty-Cycled Edge-Timing Calibration. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yi Tan, Hiroki Ishikuro A Switched-Capacitor DC-DC Converter with >77.3% Efficiency and 80 ns Active Transient Response in 40 µA - 4 mA Load Current Range. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Bozhi Yin, Hayk Gevorgyan, Deniz Onural, Anatol Khilo, Milos A. Popovic, Vladimir Marko Stojanovic Electronic-Photonic Cryogenic Egress Link. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Tzu-Hsien Yang, Chun-Kai Chiu, Yong-Hwa Wen, Ke-Horng Chen, Ying-Hsi Lin, Shian-Ru Lin, Tsung-Yen Tsai A Pre-Charge Tracking Technique in the 40MHz High-switching 48-to-5V DC-DC Buck Converter with GaN Switches for Reducing Large Self-commutation Loss and Achieving a High Efficiency of 95.4%. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ziyang Luo, Hoi Lee A 40.68MHz Active Rectifier with Cycle-Based On/Off-Delay Compensation for Biomedical Implants. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Angelo Garofalo, Gianmarco Ottavi, Alfio Di Mauro, Francesco Conti 0001, Giuseppe Tagliavini, Luca Benini, Davide Rossi A 1.15 TOPS/W, 16-Cores Parallel Ultra-Low Power Cluster with 2b-to-32b Fully Flexible Bit-Precision and Vector Lockstep Execution Mode. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jun Yin 0001, Pui-In Mak, Rui Paulo Martins A Periodically Time-Varying Inductor Applied to The Class-D VCO for Phase Noise Improvement. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ming Ming Wong, S. B. Shrestha, Vishnu P. Nambiar, Aarthy Mani, Yun Kwan Lee, Eng-Kiat Koh, W. Jiang, Kevin Tshun Chuan Chai, Anh-Tuan Do A 2.1 pJ/SOP 40nm SNN Accelerator Featuring On-chip Transfer Learning using Delta STDP. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Rubén Gómez-Merchán, María López-Carmona, Juan A. Leñero-Bardallo, Ángel Rodríguez-Vázquez A high-speed low-power sun sensor with solar cells and continuous operation. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Thomas Bücher, Janusz Grzyb, Philipp Hillger, Holger Rücker, Bernd Heinemann, Ullrich R. Pfeiffer A 239-298 GHz Power Amplifier in an Advanced 130 nm SiGe BiCMOS Technology for Communications Applications. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Francesco Chicco, Sammy Cerida Rengifo, Erwan Le Roux, Christian C. Enz A 60 GHz QDCO with 11 GHz Seamless Tuning for Low-Power FMCW Radars in 22-nm FDSOI. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Gabriele Quarta, Matteo Perenzoni, Stefano D'Amico A 0.94-µVrms Input Noise Pixel-Level Continuous Time ΣΔ IADC Interface for THz Sensing. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1 47th ESSCIRC 2021 - European Solid State Circuits Conference, ESSCIR 2021, Grenoble, France, September 13-22, 2021 Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Chengyu Huang, Yushen Fu, Zekun Yang, Yang Liu, Nan Sun 0001, Xueqing Li, Huazhong Yang A 16-Bit 4.0-GS/s Calibration-Free 65nm DAC with >70dBc SFDR and <-80dBc IM3 up to 1GHz Using Constant-Activity Element Switching. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yuyang Li 0001, Yejoong Kim, Eunseong Moon, Yuxin Gao, Jamie Phillips, Inhee Lee An Energy Autonomous Light Intensity Sensor for Monarch Butterfly Migration Tracking. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sanjeev Tannirkulam Chandrasekaran, Imon Banerjee, Arindam Sanyal 7.5nJ/inference CMOS Echo State Network for Coronary Heart Disease prediction. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Anirudh Kankuppe, Sehoon Park, Kristof Vaesen, Dae-Woong Park, Barend van Liempd, Piet Wambacq, Jan Craninckx A 67mW D-band FMCW I/Q Radar Receiver with an N-path Spillover Notch Filter in 28nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Joël Hartmann, Paolo Cappelletti, Nitin Chawla, Franck Arnaud, Andreia Cathelin Artificial Intelligence: Why moving it to the Edge? Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jonas Pelgrims, Kris Myny, Wim Dehaene A 36V Ultrasonic Driver for Haptic Feedback Using Advanced Charge Recycling Achieving 0.20CV2f Power Consumption. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ivan Bukreyev, Ken Ho, Alyssa B. Apsel Scalable Digital Synchronizer for Enabling Hardware-Level BLE Mesh Networks under 1 mW. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Denis Djekic, Matthias Häberle, Ayman Mohamed, Lars Baumgärtner, Jens Anders A 440-kOhm to 150-GOhm Tunable Transimpedance Amplifier based on Multi-Element Pseudo-Resistors. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sangyeop Lee, Shinsuke Hara, Ruibing Dong, Kyoya Takano, Shuhei Amakawa, Takeshi Yoshida, Minoru Fujishima A 272-GHz CMOS Analog BPSK/QPSK Demodulator for IEEE 802.15.3d. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hanzhao Yu, Gyusung Park, Chris H. Kim Extreme Temperature Characterization of Amplifier Response up to 300 Degrees Celsius Using Integrated Heaters and On-chip Samplers. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ayman Mohamed, Hadi Heidari, Jens Anders A readout circuit for tunnel magnetoresistive sensors employing an ultra-low-noise current source. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Emilio Calvanese Strinati, Didier Belot, Alexis Falempin, Jean-Baptiste Doré Toward 6G: From New Hardware Design to Wireless Semantic and Goal-Oriented Communication Paradigms. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yan Zhang 0050, Chia-Jen Liang, Christopher Chen, Andrew Liu, Jason Woo, Sudhakar Pamarti, Chih-Kong Ken Yang, Mau-Chung Frank Chang A Sub-50fs-Jitter Sub-Sampling PLL with a Harmonic-Enhanced 30-GHz-Fundemental Class-C VCO in 0.18µm SiGe BiCMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hanyue Li, Yuting Shen, Haoming Xin, Eugenio Cantatore, Pieter Harpe An 80dB-SNDR 98dB-SFDR Noise-Shaping SAR ADC with Duty-Cycled Amplifier and Digital-Predicted Mismatch Error Shaping. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Masoud Nouripayam, Joachim Rodrigues, Xiao Luo, Tom Johansson, Babak Mohammadi A Low-Voltage 6T Dual-Port Configured SRAM with Wordline Boost in 28 nm FD-SOI. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sammy Cerida Rengifo, Francesco Chicco, Erwan Le Roux, Christian C. Enz An Optimized Low-Power Band-Tuning TX for Short-Range FMCW Radar in 22-nm FDSOI CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Tetsuya Iizuka, Hao Xu 0005, Asad A. Abidi A Tutorial on Systematic Design of CMOS A/D Converters: Illustrated by a 10 b, 500 MS/s SAR ADC with 2 GHz RBW. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yue Gong, Jiangbo Chen, Likang Du, Huiyan Gao, Jiabing Liu, Shengjie Wang, Huan Li, Chunyi Song, Zhiwei Xu 0003 An Ultra-Low Power K band Balanced Frequency Doubler with a Novel Current-reused Structure. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Chengshuo Yu, Kevin Tshun Chuan Chai, Tony Tae-Hyoung Kim, Bongjin Kim A Zero-Skipping Reconfigurable SRAM In-Memory Computing Macro with Binary-Searching ADC. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Teodor Rosca, Fatemeh Qaderi, Adrian Mihai Ionescu High Tuning Range Spiking 1R-1T VO2 Voltage-Controlled Oscillator for Integrated RF and Optical Sensing. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Loai G. Salem A Self-Adaptive 4th-Order Filter Based on Tunable N-Path Filters. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Davide Manente, Fabio Quadrelli, Fabio Padovan, Matteo Bassi, Andrea Mazzanti, Andrea Bevilacqua A 22-31 GHz Bidirectional 5G Transceiver Front-End in 28 nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Dong Wei 0008, Tianxiang Wu, Shunli Ma, Yong Chen 0005, Junyan Ren A 35-to-50 GHz CMOS Low-Noise Amplifier with 22.2% -1-dB Fractional Bandwidth and 30.5-dB Maximum Gain for 5G New Radio. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yilong Dong, Jiamin Li, Longyang Lin, Tao Tang, Jeong Hoan Park, Kian Ann Ng, Miaolin Zhang, Lian Zhang, Joanne Si Ying Tan, Jerald Yoo Body-Coupled Power Transceiver with Node-Specific Body-Area Powering. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Boyi Zheng, Lu Jie 0008, Michael P. Flynn TaNS-DDRF: A 160-MHz Bandwidth 6-GHz Carrier Frequency Digital-Direct RF Transmitter for Wi-Fi 6E with Targeted Noise-Shaping. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Benjamin Gys, Fahd A. Mohiyaddin, Rohith Acharya, Roy Li, Kristiaan De Greve, Georges G. E. Gielen, Bogdan Govoreanu, Iuliana P. Radu, Francky Catthoor Circuit Model for the Efficient Co-Simulation of Spin Qubits and their Control & Readout Circuitry. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jian Luan 0002, Danyu Wu, Xuqiang Zheng, Chen Cai, Linzhen Wu, Lei Zhou, Jin Wu, Xinyu Liu 0004 A Real-Time Output 50-GS/s 8-bit TI-ADC with Dedicated Calibration Techniques and Deterministic Latency. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Chenming Zhang, Lucien J. Breems, Qilong Liu, Georgi I. Radulov, Muhammed Bolatkale, Shagun Bajoria, Robert Rutten, Arthur H. M. van Roermund A 6GS/s 0.5GHz BW continuous-time 2-1-1 MASH ΔΣ modulator with phase-boosted current-mode ELD compensation in 40nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1R. Midahuen, Bernard Previtali, C. Fontelaye, G. Nonglaton, Sylvain Barraud, V. Stambouli Wafer-scale fabrication of biologically sensitive Si nanowire FET: from pH sensing to electrical detection of DNA hybridization. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Wantong Li, Xiaoyu Sun 0001, Hongwu Jiang, Shanshi Huang, Shimeng Yu A 40nm RRAM Compute-in-Memory Macro Featuring On-Chip Write-Verify and Offset-Cancelling ADC References. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Nilan Udayanga, Manuel Monge Dual-band Transceiver with Mutually-coupled On-chip Antennas for Implantable/Wearable Devices. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Oscar Castañeda, Zachariah Boynton, Seyed Hadi Mirfarshbafan, Shimin Huang, Jamie C. Ye, Alyosha C. Molnar, Christoph Studer A Resolution-Adaptive 8 mm2 9.98 Gb/s 39.7 pJ/b 32-Antenna All-Digital Spatial Equalizer for mmWave Massive MU-MIMO in 65nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jan M. Rabaey, Ana Claudia Arias, Rikky Muller Architecting the Human Intranet. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Edi Emanovic, Joseph Shor, Drazen Jurisic An Inverter-Based, Ultra-Low Power, Fully Integrated, Switched-Capacitor DC-DC Buck Converter. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Asma Chabane, Mridula Prathapan, Peter Mueller, Eunjung Cha, Pier Andrea Francese, Marcel A. Kossel, Thomas Morf, Cezar B. Zota Cryogenic Characterization and Modeling of 14 nm Bulk FinFET Technology. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Guowei Chen, Xinyang Yu, Yue Wang, Tran Minh Quan, Naofumi Matsuyama, Takuya Tsujimura, Md. Zahidul Islam, Kiichi Niitsu A 0.5 mm2 0.31 V/0.39 V 28 nW/144 nW 65 nm CMOS Solar Cell-Powered Biofuel Cell-Input Biosensing System with PIM/PDM LED Driving for Stand-Alone RF-Less Continuous Glucose Monitoring Contact Lens. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Euhan Chong, Faisal Ahmed Musa, Ahmed N. Mustafa, Tim Gao, Peter Krotnev, Rashid Soreefan, Qian Xin, Paul Madeira, Davide Tonietto A 112Gb/s PAM-4, 168Gb/s PAM-8 7bit DAC-Based Transmitter in 7nm FinFET. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Enis Kobal, Teerachot Siriburanon, Robert Bogdan Staszewski, Anding Zhu A 28-GHz Switched-Filter Phase Shifter with Fine Phase-Tuning Capability Using Back-Gate Biasing in 22-nm FD-SOI CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Athanasios T. Ramkaj, Michiel S. J. Steyaert, Filip Tavernier A 13.5-Gb/s 5-mV-Sensitivity 26.8-ps-CLK-OUT Delay Triple-Latch Feedforward Dynamic Comparator in 28-nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yun-Chen Lo, Yu-Chun Kuo, Yun-Sheng Chang, Jian-Hao Huang, Jun-Shen Wu, Wen-Chien Ting, Tai-Hsing Wen, Ren-Shuo Liu Physically Tightly Coupled, Logically Loosely Coupled, Near-Memory BNN Accelerator (PTLL-BNN). Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Farshad Piri, Elham Rahimi, Matteo Bassi, Francesco Svelto, Andrea Mazzanti 70-90-GHz Self-Tuned Polyphase Filter for Wideband I/Q LO Generation in a 55-nm BiCMOS Transmitter. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Job van Staveren, Carmen García Almudéver, Giordano Scappucci, Menno Veldhorst, Masoud Babaie, Edoardo Charbon, Fabio Sebastiano Voltage References for the Ultra-Wide Temperature Range from 4.2K to 300K in 40-nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Burak Erbagci, Nail Etkin Can Akkaya, Cagri Erbagci, Ken Mai An Inherently Secure FPGA using PUF Hardware-Entanglement and Side-Channel Resistant Logic in 65nm Bulk CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Qingjun Fan, Runxi Zhang, Phaneendra Bikkina, Esko Mikkola, Jinghong Chen A 500 MS/s 10-Bit Single-Channel SAR ADC with A Double-Rate Comparator. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Feng-Wei Kuo, Zhirui Zong, Huan-Neng Ron Chen, Lan-Chou Cho, Chewnpu Jou, Mark Chen 0001, Robert Bogdan Staszewski A 77/79-GHz Frequency Generator in 16-nm CMOS for FMCW Radar Applications Based on a 26-GHz Oscillator with Co-Generated Third Harmonic. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Philex Ming-Yan Fan, Anand Savanth, Benoît Labbé, Pranay Prabhat, James Myers A 0.98-nW/kHz 33-kHz Fully Integrated Subthreshold-Region Operation RC Oscillator With Forward-Body-Biasing. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jeffrey S. Walling The Switched-Capacitor Power Amplifier: A Key Enabler for Future Communications Systems. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yong Qu, Wei Shu, Yang Kang, Joseph S. Chang A 30V 2A Real-Time Programmable Solid-State Circuit Breaker with Improved Detection-Speed and Enhanced Power-Efficiency. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jeffrey Abbott, Tianyang Ye, Hongkun Park, Donhee Ham CMOS interface with biological molecules and cells. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Francesco Mattioli Della Rocca, Hanning Mai, Sam W. Hutchings, Tarek Al Abbas, Andreas Tsiamis, Peter Lomax, István Gyöngy, Neale A. W. Dutton, Robert K. Henderson A 128 × 128 SPAD Dynamic Vision-Triggered Time of Flight Imager. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mohamed A. Mokhtar, Patrick Vogelmann, Michael Haas, Maurits Ortmanns A 94.3-dB SFDR, 91.5-dB DR, and 200-kS/s CT Incremental Delta-Sigma Modulator With Differentially Reset FIR Feedback. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Haoming Xin, Martin Andraud, Peter G. M. Baltus, Eugenio Cantatore, Pieter Harpe A 0.34-571nW All-Dynamic Versatile Sensor Interface for Temperature, Capacitance, and Resistance Sensing. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 2273 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license