The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Elmore with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1987-1994 (15) 1995-1996 (19) 1997 (16) 1998-1999 (22) 2000-2001 (15) 2002 (15) 2003-2004 (17) 2005-2006 (22) 2007-2008 (20) 2009-2012 (21) 2013-2015 (25) 2016 (16) 2017 (22) 2018 (25) 2019 (15) 2020 (17) 2021 (23) 2022-2023 (22) 2024 (3)
Publication types (Num. hits)
article(156) incollection(6) inproceedings(188)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 147 occurrences of 98 keywords

Results
Found 384 publication records. Showing 350 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
13Christophe Alexandre, Marek Sroka, Hugo Clément, Christian Masson Zephyr: A Static Timing Analyzer Integrated in a Trans-hierarchical Refinement Design Flow. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Anand Ramalingam, Sreekumar V. Kodakara, Anirudh Devgan, David Z. Pan Robust analytical gate delay modeling for low voltage circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Sebastian Vogel, Martin D. F. Wong Closed form solution for optimal buffer sizing using the Weierstrass elliptic function. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Konstantin Moiseev, Shmuel Wimer, Avinoam Kolodny Timing optimization of interconnect by simultaneous net-ordering, wire sizing and spacing. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Houman Zarrabi, Haydar Saaied, Asim J. Al-Khalili, Yvon Savaria Zero skew differential clock distribution network. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Vasilis F. Pavlidis, Eby G. Friedman Via placement for minimum interconnect delay in three-dimensional (3D) circuits. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Parthasarathi Dasgupta, Prashant Yadava Linear Required-Arrival-Time Trees and their Construction. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Yuantao Peng, Xun Liu RITC: Repeater Insertion with Timing Target Compensation. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Azadeh Davoodi, Ankur Srivastava 0001 Variability-Driven Buffer Insertion Considering Correlations. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Patrika Agarwal, Arvind Vidyarthi, Patrick H. Madden Performance analysis by topology indexed lookup tables. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Yici Cai, Yibo Wang, Xianlong Hong A global interconnect optimization algorithm under accurate delay model using solution space smoothing. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Jiaxing Sun, Yun Zheng, Qing Ye, Tianchun Ye 0001 Interconnect Delay and Slew Metrics Using the First Three Moments. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF D3M, ID3M, SS3M, SIS3M
13Frank Liu 0001, Chandramouli V. Kashyap, Charles J. Alpert A delay metric for RC circuits based on the Weibull distribution. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Chandramouli V. Kashyap, Charles J. Alpert, Frank Liu 0001, Anirudh Devgan Closed-form expressions for extending step delay and slew metrics to ramp inputs for RC trees. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Martin Danek, Josef Kolár FPGA modelling for high-performance algorithms. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Yi Zou, Yici Cai, Qiang Zhou 0001, Xianlong Hong, Sheldon X.-D. Tan A Fast Delay Analysis Algorithm for The Hybrid Structured Clock Network. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Chris C. N. Chu, Evangeline F. Y. Young, Dennis K. Y. Tong, Sampath Dechu Retiming with Interconnect and Gate Delay. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Taku Uchino, Jason Cong An interconnect energy model considering coupling effects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Li Ding 0002, Pinaki Mazumder Optimal Transistor Tapering for High-Speed CMOS Circuits. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Hiran Tennakoon, Carl Sechen Gate sizing using Lagrangian relaxation combined with a fast gradient-based pre-processing step. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Frank Liu 0001, Chandramouli V. Kashyap, Charles J. Alpert A delay metric for RC circuits based on the Weibull distribution. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Ruchir Puri, David S. Kung 0001, Anthony D. Drumm Fast and accurate wire delay estimation for physical synthesis of large ASICs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF placement driven synthesis, wire delay, estimation, integrated circuit design
13Chris C. N. Chu, D. F. Wong 0001 VLSI Circuit Performance Optimization by Geometric Programming. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF unary geometric programming, circuit performance optimization, VLSI design, Lagrangian relaxation, gate sizing, transistor sizing, wire sizing
13Hsiao-Ping Tseng, Louis Scheffer, Carl Sechen Timing- and crosstalk-driven area routing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Clayton B. McDonald, Randal E. Bryant CMOS circuit verification with symbolic switch-level timingsimulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Amir H. Ajami, Massoud Pedram Post-layout timing-driven cell placement using an accurate net length model with movable Steiner points. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13LiYi Lin, Yi-Yu Liu, TingTing Hwang A construction of minimal delay Steiner tree using two-pole delay model. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Paul-Peter Sotiriadis, Anantha P. Chandrakasan Reducing bus delay in submicron technology using coding. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Hai Zhou 0001, Martin D. F. Wong, I-Min Liu, Adnan Aziz Simultaneous routing and buffer insertion with restrictions onbuffer locations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13Bernard N. Sheehan Predicting Coupled Noise in RC Circuits. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13Savithri Sundareswaran, R. Venkatesan, S. Bhaskar An Assertion Based Technique for Transistor Level Dynamic Power Estimation. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF dynamic power estimation, transistor level, assertions
13Chris C. N. Chu, Martin D. F. Wong An efficient and optimal algorithm for simultaneous buffer and wire sizing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13Chung-Ping Chen, Chris C. N. Chu, Martin D. F. Wong Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13Chris C. N. Chu, D. F. Wong 0001 A Polynomial Time Optimal Algorithm for Simultaneous Buffer and Wire Sizing. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Simultaneous buffer and wire sizing, buffer sizing, interconnect optimization, wire sizing
13Julian Culetu, Chaim Amir, John MacDonald A Practical Repeater Insertion Method in High Speed VLSI Circuits. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF migration, timing optimazation, custom sizing
13Fang-Jou Liu, Chung-Kuan Cheng Extending Moment Computation to 2-Port Circuit Representations. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF transceiver, spread spectrum communication, RF CMOS, digital radio, ISM frequency band
13Henrik Esbensen, Ernest S. Kuh A performance-driven IC/MCM placement algorithm featuring explicit design space exploration. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF timing-driven building-block placement, design space exploration
13Atsushi Takahashi 0001, Kazunori Inoue, Yoji Kajitani Clock-tree routing realizing a clock-schedule for semi-synchronous circuits. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF clock-tree routing, semi-synchronous, deferred-merge-embedding (DME), synchronous, buffer insertion, buffer sizing, clock-schedule
13Charles J. Alpert, Anirudh Devgan Wire Segmenting for Improved Buffer Insertion. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
13Sachin S. Sapatnekar Wire sizing as a convex optimization problem: exploring the area-delay tradeoff. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
13Dongsheng Wang 0012, Ernest S. Kuh Performance-Driven Interconnect Global Routing. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
13Nan-Chi Chou, Chung-Kuan Cheng On general zero-skew clock net construction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
13Charles J. Alpert, T. C. Hu, Dennis J.-H. Huang, Andrew B. Kahng, David R. Karger Prim-Dijkstra tradeoffs for improved performance-driven routing tree design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
13Lawrence T. Pileggi Coping with RC(L) interconnect design headaches. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
13Jason Cong, Lei He 0001 Optimal wiresizing for interconnects with multiple sources. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF performance driven layout, optimal wiresizing, interconnect optimization, VLSI routing
13Jason Cong, Cheng-Kok Koh Simultaneous driver and wire sizing for performance and power optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
13Jason Cong, Cheng-Kok Koh Simultaneous driver and wire sizing for performance and power optimization. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
13Sachin S. Sapatnekar, Vasant B. Rao, Pravin M. Vaidya, Sung-Mo Kang An exact solution to the transistor sizing problem for CMOS circuits using convex optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
13Ren-Song Tsay An exact zero-skew clock routing algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
13Shun-Lin Su, Vasant B. Rao, Timothy N. Trick HPEX: A Hierarchical Parasitic Circuit Extractor. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #350 of 350 (100 per page; Change: )
Pages: [<<][1][2][3][4]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license