The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Fetch with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1974-1988 (19) 1989-1992 (18) 1993-1995 (19) 1996-1997 (27) 1998 (20) 1999 (30) 2000 (34) 2001 (32) 2002 (50) 2003 (49) 2004 (57) 2005 (51) 2006 (58) 2007 (63) 2008 (52) 2009 (25) 2010-2011 (16) 2012-2013 (18) 2014-2015 (20) 2016-2017 (20) 2018-2019 (24) 2020-2021 (24) 2022-2023 (20)
Publication types (Num. hits)
article(156) inproceedings(584) phdthesis(6)
Venues (Conferences, Journals, ...)
ISCA(37) MICRO(36) HPCA(22) IEEE Trans. Computers(21) CoRR(16) IEEE PACT(13) IPDPS(12) ASPLOS(10) ICCD(10) ICS(10) ISLPED(10) PACT(10) Euro-Par(9) ICPP(9) ACM Trans. Archit. Code Optim.(8) Asia-Pacific Computer Systems ...(7) More (+10 of total 336)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 731 occurrences of 466 keywords

Results
Found 747 publication records. Showing 746 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Pierre Michaud, André Seznec, Stéphan Jourdan An Exploration of Instruction Fetch Requirement in Out-of-Order Superscalar Processors. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Alex Ramírez, Josep Lluís Larriba-Pey, Mateo Valero Instruction fetch architectures and code layout optimizations. Search on Bibsonomy Proc. IEEE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Dongkun Shin, Jihong Kim 0001, Naehyuck Chang An operation rearrangement technique for power optimization in VLIM instruction fetch. Search on Bibsonomy DATE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Yung-Chung Wu, Jong-Jiann Shieh A Multiple Blocks Fetch Engine for High Performance Superscalar Processors. Search on Bibsonomy PDCS The full citation details ... 2001 DBLP  BibTeX  RDF
19Afzal Hossain, Daniel J. Pease An Analytical Model for Trace Cache Instruction Fetch Performance. Search on Bibsonomy ICCD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Craig A. Morioka, Daniel J. Valentino, Gary R. Duckwiler, Suzie El-Saden, Usha Sinha, Alex A. T. Bui, Hooshang Kangarloo Disease specific intelligent pre-fetch and hanging protocol for diagnostic neuroradiology workstations. Search on Bibsonomy AMIA The full citation details ... 2001 DBLP  BibTeX  RDF
19Jared Stark Out-of-order fetch, decode, and issue. Search on Bibsonomy 2000   RDF
19Lea Hwang Lee, Bill Moyer, John Arends Instruction fetch energy reduction using loop caches for embedded applications with small tight loops. Search on Bibsonomy ISLPED The full citation details ... 1999 DBLP  DOI  BibTeX  RDF instruction buffering, small program loops, embedded systems, low power, low cost
19Eric Hao, Po-Yung Chang, Marius Evers, Yale N. Patt Increasing the Instruction Fetch Rate via Block-Structured Instruction Set Architectures. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Shoji Yoshida, Shigeya Tanaka, Kotaro Matsuo, Takashi Hotta, Hideo Sawamoto, Teruhisa Shimizu Instruction fetch and dispatch scheme with flag-in-cache/in-IBR. Search on Bibsonomy Syst. Comput. Jpn. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Polly K. Pook, Sarah Finney, Kim Barrett, George Whittinghill Control of the Fetch team of robots. Search on Bibsonomy Mobile Robots / Intelligent Transportation Systems The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19David Kroft Lockup-Free Instruction Fetch/Prefetch Cache Organization. Search on Bibsonomy 25 Years ISCA: Retrospectives and Reprints The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19David Kroft Retrospective: Lockup-Free Instruction Fetch/Prefetch Cache Organization. Search on Bibsonomy 25 Years ISCA: Retrospectives and Reprints The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Shusuke Okamoto, Masahiro Sowa Intruction Fetch Mechanism for PN-Superscalar. Search on Bibsonomy PDPTA The full citation details ... 1997 DBLP  BibTeX  RDF
19Eric Hao Block enlargement optimizations for increasing the instruction fetch rate in block-structured instruction set architectures. Search on Bibsonomy 1997   RDF
19Irith Pomeranz, Nirmal R. Saxena, Richard Reeve, Paritosh Kulkarni, Yan A. Li Generation of Test Cases for Hardware Design Verification of a Super-Scalar Fetch Processor. Search on Bibsonomy ITC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
19Ting-Lu Huang, Jann-Hann Lin An Assertional Proof of a Lock Synchronization Algorithm Using Fetch and Store Atomic Instruction. Search on Bibsonomy ICPADS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Brad Calder, Dirk Grunwald Fast and Accurate Instruction Fetch and Branch Prediction. Search on Bibsonomy ISCA The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Lanfranco Lopriore Line fetch/prefetch in a stack cache memory. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
19Tse-Yu Yeh, Deborah T. Marr, Yale N. Patt Increasing the Instruction Fetch Rate via Multiple Branch Prediction and a Branch Address Cache. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
19Tse-Yu Yeh Two-level adaptive branch prediction and instruction fetch mechanisms for high performance superscalar processors. Search on Bibsonomy 1993   RDF
19David R. Kaeli, Philip G. Emma, Joshua W. Knight, Thomas R. Puzak Contrasting instruction-fetch time and instruction-decode time branch prediction mechanisms: Achieving synergy through their cooperative operation. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
19Tse-Yu Yeh, Yale N. Patt A comprehensive instruction fetch mechanism for a processor supporting speculative execution. Search on Bibsonomy MICRO The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
19R. Peter Bonasso, Hendrik James Antonisse, Marc G. Slack A Reactive Robot System for Find and Fetch Tasks in an Outdoor Environment. Search on Bibsonomy AAAI The full citation details ... 1992 DBLP  BibTeX  RDF
19Eric Freudenthal, Allan Gottlieb Process Coordination with Fetch-and-Increment. Search on Bibsonomy ASPLOS The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
19Mark Palmer, Stanley B. Zdonik Fido: A Cache That Learns to Fetch. Search on Bibsonomy VLDB The full citation details ... 1991 DBLP  BibTeX  RDF
19Tsong-Chih Hsu, Ling-Yang Kung A comment on "a fetch - and - op implementation for parallel computers". Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
19Philip Heidelberger, V. Alan Norton, John T. Robinson Parallel Quicksort Using Fetch-and-Add. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
19Steven A. Przybylski The Performance Impact of Block Sizes and Fetch Strategies. Search on Bibsonomy ISCA The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
19G. Jack Lipovski, Paul Vaughan A Fetch-And-Op Implementation for Parallel Computers. Search on Bibsonomy ISCA The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
19James Wilson Operating system data structures for shared memory MIMD machines with fetch-and-add. Search on Bibsonomy 1988   RDF
19Prithviraj Banerjee, Abhijeet Dugar A Fault-Tolerant Interconnection Network Supporting the Fetch-And-Add Primitive. Search on Bibsonomy ICPP The full citation details ... 1986 DBLP  BibTeX  RDF
19Shreekant S. Thakkar, William E. Hostmann An Instruction Fetch Unit for a Graph Reduction Machine. Search on Bibsonomy ISCA The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
19Butler W. Lampson Gene McDaniel, Severo M. Ornstein: An Instruction Fetch Unit for a High-Performance Personal Conmputer. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
19Harold S. Stone Database Applications ot the FETCH-AND-ADD Instruction. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
19David Kroft Lockup-Free Instruction Fetch/Prefetch Cache Organization. Search on Bibsonomy ISCA The full citation details ... 1981 DBLP  BibTeX  RDF
19B. Ramakrishna Rau, George E. Rossman The Effect of Instruction Fetch Strategies upon the Performance of Pipelined Instruction Units. Search on Bibsonomy ISCA The full citation details ... 1977 DBLP  DOI  BibTeX  RDF
18Junghoon Lee, Gyung-Leen Park, Sang-Wook Kim, Hye-Jin Kim 0004, Sung Y. Shin A hybrid prefetch policy for the retrieval of link-associated information on vehicular networks. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF gateway cache, hybrid data fetch, route information retrieval, vehicular telematics network, response time
18Jun Zhang, Kuizhi Mei, Jizhong Zhao An Adaptive and Selective Instruction Active Push Mechanism for Multi-core Architecture. Search on Bibsonomy NAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Chip Multi-core, Instruction Pre-fetch, Active Push, Adaptation, Memory System, Confidence Estimation
18Birgit Graf, Christopher Parlitz, Martin Hägele Robotic Home Assistant Care-O-bot® 3 Product Vision and Innovation Platform. Search on Bibsonomy HCI (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF robotic home assistant, Care-O-bot, product vision, object learning and detection, safe human-robot interaction, fetch and carry tasks, navigation, manipulation
18Jelena Trajkovic, Alexander V. Veidenbaum, Arun Kejariwal Improving SDRAM access energy efficiency for low-power embedded systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF embedded processors and low power, fetch buffer, write-combining buffer, SDRAM
18Joseph J. Sharkey, Alper Buyuktosunoglu, Pradip Bose Evaluating design tradeoffs in on-chip power management for CMPs. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fetch throttling, dynamic voltage scaling, power-aware, chip multi-processor
18Ahmad Zmily, Christos Kozyrakis Block-aware instruction set architecture. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF basic block, software hints, branch prediction, Instruction set architecture, instruction fetch, decoupled architecture
18Juan C. Moure, Domingo Benitez, Dolores Rexachs, Emilio Luque Wide and efficient trace prediction using the local trace predictor. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF high bandwidth fetch mechanism, branch prediction
18Mats Brorsson, Mikael Collin Adaptive and flexible dictionary code compression for embedded applications. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF dictionary code compression, fetch path energy, instruction memory bandwidth, instruction profiling, processor architecture
18Jinson Koppanalil, Prakash Ramrakhyani, Sameer Desai, Anu Vaidyanathan, Eric Rotenberg A case for dynamic pipeline scaling. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF configurable pipeline, fetch gating, power and energy management, shallow and deep pipelines, variable-depth pipeline, dynamic voltage scaling, clock gating
18Zhixi Fang, Peiyi Tang, Pen-Chung Yew, Chuan-Qi Zhu Dynamic Processor Self-Scheduling for General Parallel Nested Loops. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF dynamic processor self scheduling, general parallel nested loops, fetch-and-op operations, innermost parallel loop nests, dynamic parallel linked lists, guided self-scheduling, shortest-delay self-scheduling, scheduling, data structures, multiprocessing systems, multiprocessor systems, granularity, dynamic data structures
18Yuval Tamir, Carlo H. Séquin Strategies for Managing the Register File in RISC. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF VLSI processor, Cache fetch strategies, register file management, computer architecture, RISC, procedure calls
18Mary Magdalene Jane F., Ilayaraja N., Ashwin Raghav M., R. Nadarajan, Maytham Safar Cache prefetch and replacement with dual valid scopes for location dependent data in mobile environments. Search on Bibsonomy iiWAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF location-dependent information services, performance evaluation, mobile computing, cache replacement
18Savvas Gitzenis, Nicholas Bambos Joint Transmitter Power Control and Mobile Cache Management in Wireless Computing. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF mobile computing, Mobile Computing, wireless networks, Architectures, Energy Efficiency, Dynamic Programming, Caching, Wireless, Prefetching, Power Control, Web Browsing, Algorithm/protocol design and analysis, mobile communication systems, Mobile communication systems, Access Latency
18Sung Woo Chung, Kevin Skadron On-Demand Solution to Minimize I-Cache Leakage Energy with Maintaining Performance. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Low-power design, Microprocessors, Cache memories, Energy-aware systems
18Tanausú Ramírez, Alex Pajuelo, Oliverio J. Santana, Mateo Valero Runahead Threads to improve SMT performance. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Nara Yang, Gilsang Yoon, Jeonghwan Lee, Intae Hwang, Cheol Hong Kim, Jong-Myon Kim Loop Detection for Energy-Aware High Performance Embedded Processors. Search on Bibsonomy APSCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Takashi Nishimura, Keiichiro Hirai, Yoshiki Saito, Takuro Nakamura, Yohei Hasegawa, Satoshi Tsutsumi, Vasutan Tunbunheng, Hideharu Amano Power reduction techniques for Dynamically Reconfigurable Processor Arrays. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Eui-Young Chung, Cheol Hong Kim, Sung Woo Chung An Accurate and Energy-Efficient Way Determination Technique for Instruction Caches by Early Tab Matching. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF way predictioin, low power, Instruction cache
18Guy E. Blelloch, Phillip B. Gibbons, Harsha Vardhan Simhadri Combinable memory-block transactions. Search on Bibsonomy SPAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF memory-block transactions, priority write, read-modify-write, shared memory, transactional memory, queue, contention, combining, stack, linearizability, semaphore
18Xian-He Sun, Surendra Byna, Yong Chen 0001 Server-Based Data Push Architecture for Multi-Processor Environments. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF modeling, evaluation, performance measurement, cache memory, simulation of multiple-processor system
18Stephen Hines, David B. Whalley, Gary S. Tyson Guaranteeing Hits to Improve the Efficiency of a Small Instruction Cache. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Masaitsu Nakajima, Takao Yamamoto, Masayuki Yamasaki, Tetsu Hosoki, Masaya Sumita Low Power Techniques for Mobile Application SoCs Based on Integrated Platform "UniPhier". Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Xian-He Sun, Surendra Byna, Yong Chen 0001 Improving Data Access Performance with Server Push Architecture. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Yongfeng Pan, Xiaoya Fan, Liqiang He, Deli Wang A Bypass Mechanism to Enhance Branch Predictor for SMT Processors. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Nida Al-Chalabi, Khalil Shihab A Theme-based Search Technique. Search on Bibsonomy CEC/EEE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Francisco J. Cazorla, Peter M. W. Knijnenburg, Rizos Sakellariou, Enrique Fernández, Alex Ramírez, Mateo Valero Predictable Performance in SMT Processors: Synergy between the OS and SMTs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF real time, operating systems, performance predictability, ILP, thread-level parallelism, simultaneous multithreading, Multithreaded processors
18Weidong Shi, Hsien-Hsin S. Lee Authentication Control Point and Its Implications For Secure Processor Design. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Seung Jun, Mustaque Ahamad FeedEx: collaborative exchange of news feeds. Search on Bibsonomy WWW The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FeedEx, collaborative exchange, news feeds, atom, RSS
18Sung Woo Chung, Kevin Skadron Using Branch Prediction Information for Near-Optimal I-Cache Leakage. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Low Power, Branch Prediction, Leakage, Instruction Cache, Drowsy Cache
18Sundeep Narravula, Hyun-Wook Jin, Karthikeyan Vaidyanathan, Dhabaleswar K. Panda 0001 Designing Efficient Cooperative Caching Schemes for Multi-Tier Data-Centers over RDMA-enabled Networks. Search on Bibsonomy CCGRID The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Jinkang Jia, Changjia Chen Modeling Information-Sharing Behaviors in BitTorrent System Based on Real Measurement. Search on Bibsonomy ADMA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Sami Yehia, Jean-Francois Collard, Olivier Temam Load squared: adding logic close to memory to reduce the latency of indirect loads with high miss ratios. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Cheng-Ru Young, Ge-Ming Chiu, Fu-Lan Wu Efficient Cooperative Caching Schemes for Data Access in Mobile Ad Hoc Networks. Search on Bibsonomy EUC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Guilherme Dal Pizzol, Philippe Olivier Alexandre Navaux Branch Prediction Topologies for SMT Architectures. Search on Bibsonomy SBAC-PAD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Prasad Jayanti An optimal multi-writer snapshot algorithm. Search on Bibsonomy STOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF fault-tolerant, asynchronous, wait-free, snapshot, lock-free, concurrent algorithm
18Bin Wu 0014, Ajay D. Kshemkalyani Objective-Greedy Algorithms for Long-Term Web Prefetching. Search on Bibsonomy NCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Faith E. Fich, Danny Hendler, Nir Shavit On the inherent weakness of conditional synchronization primitives. Search on Bibsonomy PODC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF load-linked, store-conditional, test-and-set, lower bounds, compare-and-swap, wait-freedom, synchronization primitives
18Guy E. Blelloch, Perry Cheng, Phillip B. Gibbons Scalable Room Synchronizations. Search on Bibsonomy Theory Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Ann Gordon-Ross, Susan Cotterell, Frank Vahid Tiny instruction caches for low power embedded systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF embedded systems., fixed program, low power, instruction cache, low energy, architecture tuning, Loop cache, filter cache
18Juan L. Aragón, José González 0002, Antonio González 0001 Power-Aware Control Speculation through Selective Throttling. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Chulho Shin, Seong-Won Lee, Jean-Luc Gaudiot Dynamic Scheduling Issues in SMT Architectures. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Il Park 0001, Babak Falsafi, T. N. Vijaykumar Iimplicitly-Multithreaded Processors. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18André Seznec, Antony Fraboulet Effective ahead Pipelining of Instruction Block Address Generation. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Paramjit S. Oberoi, Gurindar S. Sohi Parallelism in the Front-End. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Naehyuck Chang, Kwanho Kim, Hyung Gyu Lee Cycle-accurate energy measurement and characterization with a case study of the ARM7TDMI [microprocessors]. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Jung-Hoon Lee, Shin-Dug Kim, Charles C. Weems Application-adaptive intelligent cache memory system. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF dynamic block fetching and cache memory, general application, media application, Memory hierarchy, temporal locality, spatial locality
18Kevin Skadron, Tarek F. Abdelzaher, Mircea R. Stan Control-Theoretic Techniques and Thermal-RC Modeling for Accurate and Localized Dynamic Thermal Management. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF thermal modeling and management, formal feedback control theory, performance, power, microprocessors
18Takeshi Yoshimura, Yoshifumi Yonemoto, Tomoyuki Ohya, Minoru Etoh, Susie J. Wee Mobile streaming media CDN enabled by dynamic SMIL. Search on Bibsonomy WWW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF mobile network, streaming media, CDN, SMIL
18Sascha Wennekers, Christian Siemers Reconfigurable RISC - A New Approach for Space-Efficient Superscalar Microprocessor Architecture. Search on Bibsonomy ARCS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Juan C. Moure, Dolores Rexachs, Emilio Luque Speeding Up Target Address Generation Using a Self-indexed FTB (Research Note). Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Ravi Bhargava, Lizy Kurian John Latency and energy aware value prediction for high-frequency processors. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF complexity-effective design, trace cache processors, low power, data speculation
18Jeffrey B. Rothman, Alan Jay Smith Minerva: An Adaptive Subblock Coherence Protocol for Improved SMP Performance. Search on Bibsonomy ISHPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18André Seznec, Stephen Felix, Venkata Krishnan, Yiannakis Sazeides Design Tradeoffs for the Alpha EV8 Conditional Branch Predictor. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF EV8 processor, Branch Prediction
18Alexander Gaysinsky, Alon Itai, Hadas Shachnai Strongly Competitive Algorithms for Caching with Pipelined Prefetching. Search on Bibsonomy ESA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Antony I. T. Rowstron, Peter Druschel Storage Management and Caching in PAST, A Large-scale, Persistent Peer-to-peer Storage Utility. Search on Bibsonomy SOSP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Shu-Lin Hwang, Feipei Lai Two Cache Lines Prediction for a Wide-Issue Micro-architecture. Search on Bibsonomy ACSAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Steven P. Vanderwiel, David J. Lilja Data prefetch mechanisms. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF prefetching, memory latency
18Alex Ramírez, Josep Lluís Larriba-Pey, Mateo Valero The Effect of Code Reordering on Branch Prediction. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Roman L. Lysecky, Frank Vahid, Tony Givargis Techniques for Reducing Read Latency of Core Bus Wrappers. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF bus wrapper, interfacing, system-on-a-chip, intellectual property, Cores, design reuse, on-chip bus
18Dana S. Henry, Bradley C. Kuszmaul, Gabriel H. Loh, Rahul Sami Circuits for wide-window superscalar processors. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Matthew C. Merten, Andrew R. Trick, Erik M. Nystrom, Ronald D. Barnes, Wen-mei W. Hwu A hardware mechanism for dynamic extraction and relayout of program hot spots. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Junho Shim, Peter Scheuermann, Radek Vingralek Proxy Cache Algorithms: Design, Implementation, and Performance. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF World Wide Web, caching, Proxy, cache replacement, cache consistency
18Steven Wallace, Dean M. Tullsen, Brad Calder Instruction Recycling on a Multiple-Path Processor. Search on Bibsonomy HPCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 746 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license