The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Multicore with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-2005 (26) 2006 (74) 2007 (173) 2008 (321) 2009 (464) 2010 (484) 2011 (433) 2012 (456) 2013 (365) 2014 (424) 2015 (383) 2016 (353) 2017 (341) 2018 (315) 2019 (282) 2020 (155) 2021 (196) 2022 (221) 2023 (217) 2024 (32)
Publication types (Num. hits)
article(1725) book(14) data(1) incollection(63) inproceedings(3695) phdthesis(179) proceedings(38)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1848 occurrences of 823 keywords

Results
Found 5715 publication records. Showing 5715 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
23Malcolm S. Mollison, Jeremy P. Erickson, James H. Anderson, Sanjoy K. Baruah, John A. Scoredos Mixed-Criticality Real-Time Scheduling for Multicore Systems. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF mixed criticality, multicore real-time scheduling
23Hongtao Huang, Feng Xia 0001, Jijie Wang, Siyu Lei, Guowei Wu Leakage-Aware Reallocation for Periodic Real-Time Tasks on Multicore Processors. Search on Bibsonomy FCST The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Real-time scheduling, Energy consumption, Multicore processor, Power-aware computing
23Tang-Hsun Tu, Chih-wen Hsueh Batch-Pipelining for H.264 Decoding on Multicore Systems. Search on Bibsonomy DCC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Optimization, Multimedia, Pipelining, Multicore, H.264
23Shih-Hao Hung, Wen-Long Yang, Chia-Heng Tu Designing and Implementing a Portable, Efficient Inter-core Communication Scheme for Embedded Multicore Platforms. Search on Bibsonomy RTCSA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF inter-core communication, MCAPI, embedded systems, MPI, message-passing, multicore programming
23Michael Moeng, Rami G. Melhem Applying statistical machine learning to multicore voltage & frequency scaling. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF decision tree, power management, multicore
23Fabien Gaud, Sylvain Geneves, Renaud Lachaize, Baptiste Lepers, Fabien Mottet, Gilles Muller, Vivien Quéma Efficient Workstealing for Multicore Event-Driven Systems. Search on Bibsonomy ICDCS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF workstealing, performance, multicore, event-driven, system services
23Yuan Tao, Hongwei Yang Research Progress of Policy of Applications of High Performance Computing on Multicore Platform. Search on Bibsonomy APWCS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Performance Optimizing Strategy, High Performance Computing, Multicore Processors
23Bo Wang, Shifeng Shang, Qiming Fang, Weimin Zheng Parallel Task Developing Based on Software Pipeline in Multicore System. Search on Bibsonomy ISPA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parallel task building, software pipeline, multicore system
23Yun-Hung Liaw, Shih-Hao Hung, Chia-Heng Tu V2X: An Automated Tool for Building SystemC-Based Simulation Environments in Designing Multicore Systems-on-Chips. Search on Bibsonomy ISPA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Systems-on-Chips, Multicore, translator, SystemC, Verilog, system-level simulation
23Young Jin Park, Hong Jun Choi, Cheol Hong Kim, Jong-Myon Kim Energy-aware Filter Cache Architecture for Multicore Processors. Search on Bibsonomy DELTA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF energy consumption, multicore processor, instruction cache, victim cache, filter cache
23Anastasia Ailamaki Database systems in the multicore era. Search on Bibsonomy SPAA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF deep memory hierarchies, multithreaded storage management, multicore systems
23Albert Cohen 0001, Erven Rohou Processor virtualization and split compilation for heterogeneous multicore embedded systems. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF back-end optimization, bytecode language, heterogeneous multicore, portable performance, split compilation, virtualization, annotations, vectorization, specialization
23Sebastián Isaza, Friman Sánchez, Georgi Gaydadjiev, Alex Ramírez, Mateo Valero Scalability Analysis of Progressive Alignment on a Multicore. Search on Bibsonomy CISIS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF clustalw, bioinformatics, multicore, multiple sequence alignment, cell
23Clemens Grelck, Jukka Julku, Frank Penczek S-Net for multi-memory multicores. Search on Bibsonomy DAMP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF component coordination, hybrid memory architecture, stream processing, multicore programming
23Wu-chun Feng, Pavan Balaji Tools and Environments for Multicore and Many-Core Architectures. Search on Bibsonomy Computer The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Parallel programming, Multicore processors
23Fuad Abujarad, Sandeep S. Kulkarni Multicore Constraint-Based Automated Stabilization. Search on Bibsonomy SSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Multicore Algorithms, Stabilization, Program Transformation, Distributed Programs, Program Synthesis
23Yoonseo Choi, Yuan Lin 0002, Nathan Chong, Scott A. Mahlke, Trevor N. Mudge Stream Compilation for Real-Time Embedded Multicore Systems. Search on Bibsonomy CGO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Multicore, streaming applications
23Jitendra Kumar Rai, Atul Negi, Rajeev Wankar, K. D. Nayak On Prediction Accuracy of Machine Learning Algorithms for Characterizing Shared L2 Cache Behavior of Programs on Multicore Processors. Search on Bibsonomy CICSyN The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Machine Learning, Multicore processors, Characterization, L2 Cache
23Gautam Altekar, Ion Stoica ODR: output-deterministic replay for multicore debugging. Search on Bibsonomy SOSP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF debugging, multicore, inference, deterministic replay
23Oreste Villa, Daniele Paolo Scarpazza, Fabrizio Petrini Accelerating Real-Time String Searching with Multicore Processors. Search on Bibsonomy Computer The full citation details ... 2008 DBLP  DOI  BibTeX  RDF String-searching algorithms, memory congestion, multicore processors, Cell Broadband Engine, deep-packet inspection
23Seung-Hee Bae Parallel Multidimensional Scaling Performance on Multicore Systems. Search on Bibsonomy eScience The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SMACOF, Performance, Multicore, MDS, Parallel Matrix Multiplication
23Victor Pankratius, Walter F. Tichy International workshop on multicore software engineering (IWMSE 2008). Search on Bibsonomy ICSE Companion The full citation details ... 2008 DBLP  DOI  BibTeX  RDF concurrency, synchronization, static analysis, patterns, openmp, threads, cell, multicore systems, x10
23Srinivas Sridharan 0002, Arun Rodrigues, Peter M. Kogge Evaluating synchronization techniques for light-weight multithreaded/multicore architectures. Search on Bibsonomy SPAA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF full-empty bits, light-weight multithreading, scalable lock and barrier synchronization techniques, multicore processors, processing-in-memory
23Michael Gschwind, H. Peter Hofstee, Brian K. Flachs, Martin Hopkins, Yukio Watanabe, Takeshi Yamazaki Synergistic Processing in Cell's Multicore Architecture. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF synergistic processing, Cell Broadband Engine, multicore architecture
23David Geer Industry Trends: Chip Makers Turn to Multicore Processors. Search on Bibsonomy Computer The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dual-core chips, processor architectures, multicore processors
21Fridtjof Siebert Concurrent, parallel, real-time garbage-collection. Search on Bibsonomy ISMM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF java, real-time, parallel, concurrent, garbage collection, multicore
21Jialu Huang, Arun Raman, Thomas B. Jablin, Yun Zhang 0005, Tzu-Han Hung, David I. August Decoupled software pipelining creates parallelization opportunities. Search on Bibsonomy CGO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF DSWP, enabling transformation, parallelization, multicore, speculation
21Barry L. Kurtz, Rahman Mitchel Tashakkori, John J. Helfert, Michael Sage Using microlabs to teach modern distributed computing. Search on Bibsonomy SIGCSE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF computer science labs, multicore computing, grid computing, distributed computing, cluster computing
21Andrea Bartolini, Matteo Cacciari, Andrea Tilli, Luca Benini, Matthias Gries A virtual platform environment for exploring power, thermal and reliability management control strategies in high-performance multicores. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF resource managment, multicore, control system
21Kai Shen Request behavior variations. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF operating system adaptation, request modeling, server system, multicore, hardware counter
21Jilong Kuang, Laxmi N. Bhuyan LATA: a latency and throughput-aware packet processing system. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multicore architecture, packet processing, parallel-pipelining
21Ryan Cochran, Sherief Reda Consistent runtime thermal prediction and control through workload phase detection. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF proactive control, thermal prediction, thermal sensing, workload phase, DVFS, multicore systems
21Fernando Rodríguez-Haro, Felix Freitag, Leandro Navarro 0001 Enhancing virtual environments with QoS aware resource management. Search on Bibsonomy Ann. des Télécommunications The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Virtualization, Multicore, Dynamic resource management
21Juan Carlos Díaz Martín, Juan A. Rico-Gallego, Jesús M. Álvarez Llorente, J. F. Perogil Duque An MPI-1 Compliant Thread-Based Implementation. Search on Bibsonomy PVM/MPI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Thread-based MPI implementation, multicore architectures
21Guy L. Steele Jr., Vijay A. Saraswat Curricula for concurrency and parallelism. Search on Bibsonomy OOPSLA Companion The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parallelism, concurrency, multicore, curricula
21Yin Wang 0001, Stéphane Lafortune, Terence Kelly, Manjunath Kudlur, Scott A. Mahlke The theory of deadlock avoidance via discrete control. Search on Bibsonomy POPL The full citation details ... 2009 DBLP  DOI  BibTeX  RDF discrete control theory, dynamic deadlock avoidance, parallel programming, concurrent programming, multicore processors, multithreaded programming
21Michael A. Baker, Pravin Dalale, Karam S. Chatha, Sarma B. K. Vrudhula A scalable parallel H.264 decoder on the cell broadband engine architecture. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF code overlay, scalable, parallel, video, multicore, H.264, cell broadband engine, MPEG4
21Srinivas Chellappa, Franz Franchetti, Markus Püschel Computer generation of fast fourier transforms for the cell broadband engine. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dft, multibuffering, performance library, parallelization, streaming, fast fourier transform, multicore, program generation, cell be, automatic performance tuning
21Yao Qi, Raja Das, Zhi Da Luo, Martin Trotter MulticoreSDK: a practical and efficient data race detector for real-world applications. Search on Bibsonomy PADTAD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF concurrent program analysis, multicore platform, data race detection
21Franz Franchetti, Frédéric de Mesmay, Daniel S. McFarlin, Markus Püschel Operator Language: A Program Generation Framework for Fast Kernels. Search on Bibsonomy DSL The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Library generation, high performance software, multicore CPU, program generation, automatic performance tuning
21Marian Srebrny, Piotr Such Statistical Strength of a Hybrid Cryptosystem on 2-D Cellular Automata with Nonaffine Rotations. Search on Bibsonomy KES-AMSTA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-agent security, intelligent multicore computation, random bit generators, cellular automata, statistical tests, cryptology, symmetric cipher
21Mark J. Cianchetti, Joseph C. Kerekes, David H. Albonesi Phastlane: a rapid transit optical routing network. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF interconnection networks, multicore, optical interconnects, nanophotonics
21Yangchun Luo, Venkatesan Packirisamy, Wei-Chung Hsu, Antonia Zhai, Nikhil Mungre, Ankit Tarkas Dynamic performance tuning for speculative threads. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parallelism, multicore, dynamic optimization, thread-level speculation
21Nikos Hardavellas, Michael Ferdman, Babak Falsafi, Anastasia Ailamaki Reactive NUCA: near-optimal block placement and replication in distributed caches. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF block migration, block placement, block replication, cache indexing, cache lookup, non-uniform cache access, nuca, r-nuca, reactive nuca, rotational interleaving, cache, replication, chip multiprocessor, cmp, placement, multicore, multi-core, migration, cache coherence, data replication, coherence, interleaving, data migration, data placement, shared cache, cache management, lookup, last-level cache, private cache
21Raphael Eidenbenz, Roger Wattenhofer Brief announcement: selfishness in transactional memory. Search on Bibsonomy SPAA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF game theory, transactional memory, contention management, multicore architecture
21David K. Tam, Reza Azimi, Livio Soares, Michael Stumm RapidMRC: approximating L2 miss rate curves on commodity systems for online optimizations. Search on Bibsonomy ASPLOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF miss rate curve, performance monitoring unit, shared cache management, chip multiprocessor, dynamic optimization, multicore processor, shared cache, cache management, cache partitioning, hardware performance counters, online optimization
21Yufu Zhang, Ankur Srivastava 0001 Accurate temperature estimation using noisy thermal sensors. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF on-chip sensor, estimation, multicore, temperature, DTM
21Charles E. Leiserson The Cilk++ concurrency platform. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dag model, hyperobject, parallel programming, parallelism, multithreading, reducer, speedup, work, race detection, span, multicore programming, Amdahl's Law
21Patrick Crowley The future in your pocket. Search on Bibsonomy Comput. Commun. Rev. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF mobile phones, multicore, CPU
21Fridtjof Siebert Limits of parallel marking garbage collection. Search on Bibsonomy ISMM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF java, parallel, concurrent, garbage collection, multicore, realtime
21Andrey N. Chernikov, Nikos Chrisochoides Three-dimensional delaunay refinement for multi-core processors. Search on Bibsonomy ICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cots software, delaunay triangulation, mesh generation, multicore architectures, parallel scientific computing
21John Giacomoni, Tipp Moseley, Manish Vachharajani FastForward for efficient pipeline parallelism: a cache-optimized concurrent lock-free queue. Search on Bibsonomy PPoPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF fastforward, multiprocessors, multicore, queue, lock-free, linearizability, nonblocking synchronization, pipeline parallel
21Mark Moir, Kevin Moore, Daniel Nussbaum The adaptive transactional memory test platform: a tool for experimenting with transactional code for rock (poster). Search on Bibsonomy SPAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF simulation, performance, scalability, synchronization, multiprocessors, transactional memory, multicore
21Kai Shen, Alex Zhang, Terence Kelly, Christopher Stewart Operational analysis of processor speed scaling. Search on Bibsonomy SPAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF acpi, datacenter-on-chip, p-states, scheduling, performance modeling, power, multicore, capacity planning, queuing, dynamic resource allocation, multi-processor, operational analysis, internet servers
21Rezaul Alam Chowdhury, Vijaya Ramachandran Cache-efficient dynamic programming algorithms for multicores. Search on Bibsonomy SPAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallelism, multicore, shared cache, distributed cache, cache-efficiency
21Sachin S. Sapatnekar, Eshel Haritan, Kurt Keutzer, Anirudh Devgan, Desmond Kirkpatrick, Stephen Meier, Duaine Pryor, Tom Spyrou Reinventing EDA with manycore processors. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallelization, CAD, software, multicore, EDA, speedup, manycore
21John D. Owens, William J. Dally, Ron Ho, Doddaballapur Narasimha-Murthy Jayasimha, Stephen W. Keckler, Li-Shiuan Peh Research Challenges for On-Chip Interconnection Networks. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded systems, system on chip, network on chip, multicore architectures, on-chip interconnection networks
21Partha Kundu, Li-Shiuan Peh Guest Editors' Introduction: On-Chip Interconnects for Multicores. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded systems, system on chip, network on chip, multicore architectures, on-chip interconnection networks
21Min Xu, Rastislav Bodík, Mark D. Hill A Hardware Memory Race Recorder for Deterministic Replay. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF shared-memory race recording, multithreading, multicore, cache coherence, determinism
21Ronny Ronen, Antonio González 0001 Guest Editors' Introduction: Micro's Top Picks from the Microarchitecture Conferences. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF programmable architecture, performance evaluation, fault tolerance, microarchitecture, multicore systems, wireless protocols
21John Kubiatowicz, Howard Sachs Guest Editors' Introduction: Hot Chips 18. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF communications, embedded systems, networking, power management, microprocessors, multicore systems
21David Wentzlaff, Patrick Griffin, Henry Hoffmann, Liewei Bao, Bruce Edwards, Carl Ramey, Matthew Mattina, Chyi-Chang Miao, John F. Brown III, Anant Agarwal On-Chip Interconnection Architecture of the Tile Processor. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF MIMD processors, parallel architectures, mesh networks, multicore architectures, on-chip interconnection networks
21Thomas William Ainsworth, Timothy Mark Pinkston Characterizing the Cell EIB On-Chip Network. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multiple data stream architectures, multiprocessors, multicore architectures, interconnection architectures, on-chip interconnection networks
21Silvius Vasile Rus, Maikel Pennings, Lawrence Rauchwerger Sensitivity analysis for automatic parallelization on multi-cores. Search on Bibsonomy ICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF parallelism, multicore, sensitivity analysis
21Shailender Chaudhry, Paul Caprioli, Sherman Yip, Marc Tremblay High-Performance Throughput Computing. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF hardware scout, CMP, multithreading, multicore, microprocessor, CMT
20Won Seok Choi, Sang Ju Lee, Jong Oh Kim, Seong Gon Choi Multicore Packet Distribution Method Using Multicore Network Interface Card Based on Tile-gx72 Network Processor. Search on Bibsonomy ICACT The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
20Kyosuke Nakada, Hitoshi Takeshita, Yuki Kuno, Yusuke Matsuno, Itsuki Urashima, Yusuke Shimomura, Yuji Hotta, Tsubasa Sasaki, Yudai Uchida, Kohei Hosokawa, Ryohei Otowa, Rika Tahara, Emmanuel Le Taillandier de Gabory, Yasuki Sakurai, Ryuichi Sugizaki, Masahiko Jinno Bidirectional single-multicore-fiber spatial channel network based on a spatial cross-connect and multicore EDFA that efficiently accommodates asymmetric data traffic. Search on Bibsonomy JOCN The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
20Kyosuke Nakada, Hitoshi Takeshita, Yuki Kuno, Yusuke Matsuno, Itsuki Urashima, Yusuke Shimomura, Yuji Hotta, Tsubasa Sasaki, Yudai Uchida, Kohei Hosokawa, Ryohei Otowa, Rika Tahara, Emmanuel Le Taillandier de Gabory, Yasuki Sakurai, Ryuichi Sugizaki, Masahiko Jinno Single Multicore-Fiber Bidirectional Spatial Channel Network Based on Spatial Cross-Connect and Multicore EDFA Efficiently Accommodating Asymmetric Traffic. Search on Bibsonomy OFC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
20Gaurav Gambhir, Monika Gambhir, Jyotsna Kumar Mandal A 3D Chaotic Dynamics-Assisted Color Image Authentication Technique in Multicore Milieu: Multicore Implementation of 3D RGB Steganography. Search on Bibsonomy Int. J. Softw. Innov. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
20Hitoshi Takeshita, Kohei Nakamura, Yuushi Matsuo, Takanori Inoue, Daishi Masuda, Tetsuya Hiwatashi, Kohei Hosokawa, Yoshihisa Inada, Emmanuel Le Taillandier de Gabory First Demonstration of Uncoupled 4-Core Multicore Fiber in a Submarine Cable Prototype with Integrated Multicore EDFA. Search on Bibsonomy OFC The full citation details ... 2022 DBLP  BibTeX  RDF
20Glen Mével A mechanized program logic for concurrent programs with the weak memory model of Multicore OCaml. (Une logique de programme mécanisée pour les programmes concurrents dans le modèle mémoire faible de Multicore OCaml). Search on Bibsonomy 2022   RDF
20Fabien Bouquillon Improving the reliability of heterogeneous multicore architecture for intelligent transportation systems. (Améliorer la fiabilité des architectures multicore hétérogènes pour les systèmes de transport intelligents). Search on Bibsonomy 2022   RDF
20Haklin Kimm, Incheon Paik Distributed Neural Network with TensorFlow on Human Activity Recognition Over Multicore TPU. Search on Bibsonomy MCSoC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
20Md. Ashraful Islam, Kenji Kise Efficient Resource Shared RISC-V Multicore Processor. Search on Bibsonomy MCSoC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
20Mark Sagi, Nguyen Anh Vu Doan, Thomas Wild, Andreas Herkersdorf Multicore Power Estimation using Independent Component Analysis Based Modeling. Search on Bibsonomy MCSoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
20Alexander M. Gruzlikov, Nikolai V. Kolesov, Dmitrii Kostygov, Marina V. Tolmacheva A Real-Time Fault-Tolerant and Power-Efficient Multicore System on Chip. Search on Bibsonomy MCSoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
20Jagadish Kumar Ranbirsingh, Hanke Kimm, Haklin Kimm Distributed Neural Networks using TensorFlow over Multicore and Many-Core Systems. Search on Bibsonomy MCSoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
20Alexey L. Lastovetsky, Muhammad Fahad 0002, Hamidreza Khaleghzadeh, Semyon Khokhriakov, Ravi Reddy, Arsalan Shahid, Lukasz Szustak, Roman Wyrzykowski How Pre-multicore Methods and Algorithms Perform in Multicore Era. Search on Bibsonomy ISC Workshops The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
20Talha Rahman, Bernhard Spinnler, Stefano Calabrò, Erik De Man, Klaus Pulverer, Bernd Sommerkorn-Krombholz, Carlos Castro, Takayuki Mizuno, Yutaka Miyamoto, Katsuhiro Takenaga, Saurabh Jain, Yongmin Jung, Shaif-Ul Alam, Toshio Morioka 108 Tb/s Transmission Over 120 km of 7-Core Multicore Fiber with Integrated Cladding Pumped Multicore Amplifiers. Search on Bibsonomy ECOC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
20Mingze Ma, Rizos Sakellariou Reducing Code Size in Scheduling Synchronous Dataflow Graphs on Multicore Systems. Search on Bibsonomy PARMA-DITAM@HiPEAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
20Van Toan Dao Calcul à haute performance et simulations stochastiques : Etude de la reproductibiité numérique sur architectures multicore et manycore. (High performance computing and stochastic simulation : Study of numerical reproducibility on multicore and manycore architectures). Search on Bibsonomy 2017   RDF
20Daniel Sanz Ausin, Luca Pezzarossa, Martin Schoeberl Real-Time Audio Processing on the T-Crest Multicore Platform. Search on Bibsonomy MCSoC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
20Aakashdeep Goyal, Zuqing Li, Haklin Kimm Comparative Study on Edge Detection Algorithms Using OpenACC and OpenMPI on Multicore Systems. Search on Bibsonomy MCSoC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
20Zuqing Li, Aakashdeep Goyal, Haklin Kimm Parallel Longest Common Sequence Algorithm on Multicore Systems Using OpenACC, OpenMP and OpenMPI. Search on Bibsonomy MCSoC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
20Connor Imes, David H. K. Kim, Martina Maggio, Henry Hoffmann Portable Multicore Resource Management for Applications with Performance Constraints. Search on Bibsonomy MCSoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Keiji Kimura, Gakuho Taguchi, Hironori Kasahara Accelerating Multicore Architecture Simulation Using Application Profile. Search on Bibsonomy MCSoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Joo On Ooi, Fawnizu Azmadi B. Hussin, Nordin Zakaria 0001 Dual-Engine Cross-ISA DBTO Technique Utilising MultiThreaded Support for Multicore Processor System. Search on Bibsonomy MCSoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Romain Saussard, Boubker Bouzid, Marius Vasiliu, Roger Reynaud A Robust Methodology for Performance Analysis on Hybrid Embedded Multicore Architectures. Search on Bibsonomy MCSoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Anastasiia Butko, Florent Bruguier, Abdoulaye Gamatié, Gilles Sassatelli, David Novo, Lionel Torres, Michel Robert Full-System Simulation of big.LITTLE Multicore Architecture for Performance and Energy Exploration. Search on Bibsonomy MCSoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Seiji Nagashima, Takeshi Fukaya, Yusaku Yamamoto On Constructing Cost Models for Online Automatic Tuning Using ATMathCoreLib: Case Studies through the SVD Computation on a Multicore Processor. Search on Bibsonomy MCSoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Pierre Schweitzer Simulations parallèles de Monte Carlo appliquées à la Physique des Hautes Energies pour plates-formes manycore et multicore : mise au point, optimisation, reproductibilité. (Monte Carlo parallel simulations applied to the High Energy Physics for manycore and multicore platforms : development, optimisation, reproducibility). Search on Bibsonomy 2015   RDF
20Alexandre Aminot, Yves Lhuillier, Andrea Castagnetti, Henri-Pierre Charles FPU Speedup Estimation for Task Placement Optimization on Asymmetric Multicore Designs. Search on Bibsonomy MCSoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
20Gasser Ayad, Ramakrishna Nittala, Romain Lemaire Automatic Runtime Customization for Variability Awareness on Multicore Platforms. Search on Bibsonomy MCSoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
20Farhad Arbab, Sung-Shik T. Q. Jongmans Coordinating Multicore Computing. Search on Bibsonomy SFM The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
20Mwaffaq Otoom, Pedro Trancoso, Hisham M. Almasaeid, Mohammad A. Alzubaidi Scalable and Dynamic Global Power Management for Multicore Chips. Search on Bibsonomy PARMA-DITAM@HiPEAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
20Walter F. Tichy The Multicore Transformation Closing Statement: The multicore transformation (Ubiquity symposium). Search on Bibsonomy Ubiquity The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Keith D. Cooper Making Effective Use of Multicore Systems A software perspective: The multicore transformation (Ubiquity symposium). Search on Bibsonomy Ubiquity The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Kenneth A. Ross Multicore Processors and Database Systems: The multicore transformation (Ubiquity symposium). Search on Bibsonomy Ubiquity The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Walter F. Tichy The Multicore Transformation Opening Statement: The multicore transformation (Ubiquity symposium). Search on Bibsonomy Ubiquity The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Joao Vicente Ferreira Lima A Runtime System for Data-Flow Task Programming on Multicore Architectures with Accelerators. (Vers un support exécutif avec dépendance de données pour les architectures multicoeur avec des accélérateurs / Uma Ferramenta para Programação com Dependência de Dados em Arquiteturas Multicore com Aceleradores). Search on Bibsonomy 2014   RDF
Displaying result #301 - #400 of 5715 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license