The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for NoC with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1992-2003 (34) 2004 (54) 2005 (102) 2006 (133) 2007 (193) 2008 (189) 2009 (153) 2010 (156) 2011 (170) 2012 (153) 2013 (176) 2014 (149) 2015 (162) 2016 (138) 2017 (138) 2018 (132) 2019 (107) 2020 (100) 2021 (87) 2022 (74) 2023 (68) 2024 (6)
Publication types (Num. hits)
article(678) book(1) incollection(23) inproceedings(1948) phdthesis(24)
Venues (Conferences, Journals, ...)
NOCS(129) DATE(124) ISCAS(72) DSD(67) DAC(63) ISVLSI(53) SBCCI(53) CoRR(49) IEEE Trans. Very Large Scale I...(41) SoCC(39) ASP-DAC(37) IEEE Trans. Comput. Aided Des....(37) Microprocess. Microsystems(37) CODES+ISSS(35) NoCArc@MICRO(34) ICCD(33) More (+10 of total 510)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1003 occurrences of 375 keywords

Results
Found 2678 publication records. Showing 2674 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
26Ümit Y. Ogras, Radu Marculescu, Hyung Gyu Lee, Naehyuck Chang Communication architecture optimization: making the shortest path shorter in regular networks-on-chip. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Dong Wu, Bashir M. Al-Hashimi, Marcus T. Schmitz Improving routing efficiency for network-on-chip through contention-aware input selection. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26André Borin Soares, Luigi Carro, Altamiro Amadeu Susin Reconfigurable communications for image processing applications. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Haque Mohammad Munirul, Tomoaki Hasegawa, Michitaka Kameyama Evaluation of Multiple-Valued Packet Multiplexing Scheme for Network-on-Chip Architecture. Search on Bibsonomy ISMVL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Partha Pratim Pande, Amlan Ganguly, Brett Feero, Benjamin Belzer, Cristian Grecu Design of Low power & Reliable Networks on Chip through joint crosstalk avoidance and forward error correction coding. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Hyung Gyu Lee, Ümit Y. Ogras, Radu Marculescu, Naehyuck Chang Design space exploration and prototyping for on-chip multimedia applications. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MPEG-2 encoder, system-on-chip, networks-on-chip, FPGA prototype, point-to-point
26Vincent Nollet, Théodore Marescaux, Prabhat Avasare, Jean-Yves Mignolet Centralized Run-Time Resource Management in a Network-on-Chip Containing Reconfigurable Hardware Tiles. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Nicolas Genko, David Atienza, Giovanni De Micheli, Jose Manuel Mendias, Román Hermida, Francky Catthoor A Complete Network-On-Chip Emulation Framework. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Srinivasan Murali, Luca Benini, Giovanni De Micheli Mapping and physical planning of networks-on-chip architectures with quality-of-service guarantees. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF physical planning, QoS, optimization, systems on chips, mapping, networks on chips
26Antonio Pullini, Federico Angiolini, Davide Bertozzi, Luca Benini Fault tolerance overhead in network-on-chip flow control schemes. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF fault tolerance, network on chip, error correction, flow control
26Rodrigo Ferrugem Cardoso, Márcio Eduardo Kreutz, Luigi Carro, Altamiro Amadeu Susin Design space exploration on heterogeneous network-on-chip. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26A. Hegedus, Gian Mario Maggio, Ljupco Kocarev A ns-2 simulator utilizing chaotic maps for network-on-chip traffic analysis. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Yang Yu, Mei Yang, Yulu Yang, Yingtao Jiang A RDT-Based Interconnection Network for Scalable Network-on-Chip Designs. Search on Bibsonomy ITCC (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF routing, scalability, interconnection network, Network-on-Chip, torus
26Mei Yang, Yingtao Jiang, Tao Li, Yulu Yang Fault-Tolerant Routing Schemes in RDT(2, 2, 1)/a-Based Interconnection Network for Networks-on-Chip Designs. Search on Bibsonomy ISPAN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Jongman Kim, Dongkook Park, Theo Theocharides, Narayanan Vijaykrishnan, Chita R. Das A low latency router supporting adaptivity for on-chip interconnects. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF networks, networks-on-chip, interconnection, adaptive routing
26Akhilesh Bajaj The effect of the number of concepts on the readability of schemas: an empirical study with data models. Search on Bibsonomy Requir. Eng. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Gianluca Palermo, Cristina Silvano PIRATE: A Framework for Power/Performance Exploration of Network-on-Chip Architectures. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Lukasz Masko Program Graph Scheduling for Dynamic SMP Clusters with Communication on the Fly. Search on Bibsonomy ISPDC/HeteroPar The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Santiago González Pestana, Edwin Rijpkema, Andrei Radulescu, Kees Goossens, Om Prakash Gangwal Cost-Performance Trade-Offs in Networks on Chip: A Simulation-Based Approach. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26César Albenes Zeferino, Márcio Eduardo Kreutz, Altamiro Amadeu Susin RASoC: A Router Soft-Core for Networks-on-Chip. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, Systems-on-Chip, On-Chip Networks
26Antoine Jalabert, Srinivasan Murali, Luca Benini, Giovanni De Micheli ×pipesCompiler: A Tool for Instantiating Application Specific Networks on Chip. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Systems on Chips, Networks on Chips, SystemC, application-specific, latency-insensitive design
26César Albenes Zeferino, Frederico G. M. E. Santo, Altamiro Amadeu Susin ParIS: a parameterizable interconnect switch for networks-on-chip. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, systems-on-chip, networks-on-chip
26Kenichiro Anjo, Yutaka Yamada, Michihiro Koibuchi, Akiya Jouraku, Hideharu Amano BLACK-BUS: A New Data-Transfer Technique Using Local Address on Networks-on-Chips. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Network-on-a-Chip, table-lookup routing, interconnection networks, Systems-on-a-Chip, streaming processing, on-chip interconnect, deterministic routing
26Gérard Mas, Philippe Martin 0005 Network-on-Chip: The Intelligence is in The Wire. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Wei-Lun Hung, Charles Addo-Quaye, Theo Theocharides, Yuan Xie 0001, Narayanan Vijaykrishnan, Mary Jane Irwin Thermal-Aware IP Virtualization and Placement for Networks-on-Chip Architecture. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Jeremy Chan, Sri Parameswaran NoCGEN: A Template Based Reuse Methodology for Networks on Chip Architecture. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Srinivasan Murali, Giovanni De Micheli SUNMAP: a tool for automatic topology selection and generation for NoCs. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF systems on chip, mapping, networks on chip, topology, SystemC
26Tang Lei, Shashi Kumar Algorithms and Tools for Network on Chip Based System Design. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Catherine H. Gebotys, Y. Zhang Security wrappers and power analysis for SoC technologies. Search on Bibsonomy CODES+ISSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF security, performance, design, VLIW, adiabatic
26Jan Madsen, Shankar Mahadevan, Kashif Virk, Mercury Gonzalez Network-on-Chip Modeling for System-Level Multiprocessor Simulation. Search on Bibsonomy RTSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Jian Liu, Meigen Shen, Li-Rong Zheng 0001, Hannu Tenhunen System level interconnect design for network-on-chip using interconnect IPs. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF interconnect IP, network on chip, interconnect, bandwidth optimization
26Giovanni De Micheli, Ciprian Seiculescu, Srinivasan Murali, Luca Benini, Federico Angiolini, Antonio Pullini Networks on Chips: from research to products. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF SoC, system on chip, network on chip, NoC
26Caroline Concatto, Debora Matos, Luigi Carro, Fernanda Lima Kastensmidt, Altamiro Amadeu Susin, Érika F. Cota, Márcio Eduardo Kreutz Fault tolerant mechanism to improve yield in NoCs using a reconfigurable router. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reconfigurable router, fault tolerance, reliability, network-on-chip, NoC
26Alexander Fell, Mythri Alle, Keshavan Varadarajan, Prasenjit Biswas, Saptarsi Das, Jugantor Chetia, S. K. Nandy 0001, Ranjani Narayan Streaming FFT on REDEFINE-v2: an application-architecture design space exploration. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF application synthesis, custom instruction extension, dataflow software pipeline, honeycomb, polymorphic asic, runtime reconfiguration, router, NOC
26Mahmut T. Kandemir, Ozcan Ozturk 0001 Software-directed combined cpu/link voltage scaling fornoc-based cmps. Search on Bibsonomy SIGMETRICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF compiler, CMP, NoC, voltage scaling, cpu, communication link
26Byung-Gyu Ahn, Jun-Mo Jung, Jong-Wha Chong Power-Aware Test Framework for Network-on-Chip. Search on Bibsonomy ICONS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF test, low-power, NoC
26Imran Rafiq Quadri, Pierre Boulet, Samy Meftali, Jean-Luc Dekeyser Using an MDE Approach for Modeling of Interconnection Networks. Search on Bibsonomy ISPAN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF UML2 Templates, SoC, MINs, NoC, MDE, MARTE, Delta Networks
26Daniel Greenfield, Simon W. Moore Fractal communication in software data dependency graphs. Search on Bibsonomy SPAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CMP, communication complexity, fractal, NoC
25Guangyu Chen, Feihui Li, Seung Woo Son 0001, Mahmut T. Kandemir Application mapping for chip multiprocessors. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF NoC (Network on Chip), compilers, power optimization, chip multiprocessing, application mapping
25Zhen Zhang, Alain Greiner, Sami Taktak A reconfigurable routing algorithm for a fault-tolerant 2D-Mesh Network-on-Chip. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF 2D-Mesh NoC, DSPIN, MP2-SoC, fault-tolerant, reconfiguration, routing algorithm
25Guojun Jin, Frank Wang Network system design affects distributed parallel computing. Search on Bibsonomy Infoscale The full citation details ... 2006 DBLP  DOI  BibTeX  RDF jumbo frame, distributed computation, TCP, System on Chip (SoC), network design, Network on Chip (NoC), system resources
25Kuei-Chung Chang, Jih-Sheng Shen, Tien-Fu Chen A low-power crossroad switch architecture and its core placement for network-on-chip. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF NoC design, low-power, systems on chips, networks on chip, application-specific
24Si Liu 0003, Luca Multazzu, Hengfeng Wei, David A. Basin NOC-NOC: Towards Performance-optimal Distributed Transactions. Search on Bibsonomy Proc. ACM Manag. Data The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
24Darren Lyles, Patricia Gonzalez-Guerrero, Meriam Gay Bautista, George Michelogiannakis PaST-NoC: A Packet-Switched Superconducting Temporal NoC. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Bharat Bisht, Shirshendu Das BHT-NoC: Blaming Hardware Trojans in NoC Routers. Search on Bibsonomy IEEE Des. Test The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Ahmed Shalaby 0001, Yaswanth Tavva, Trevor E. Carlson, Li-Shiuan Peh Sentry-NoC: a statically-scheduled NoC for secure SoCs. Search on Bibsonomy NOCS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
24Salma Hesham, Diana Goehringer, Mohamed A. Abd El Ghany HPPT-NoC: A Dark-Silicon Inspired Hierarchical TDM NoC with Efficient Power-Performance Trading. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
24Frank Bubenhagen Analysis and Enhancement of a Fault-Tolerant NoC for SRAM-based FPGAs in Space Applications (Analyse und Erweiterung eines fehler-toleranten NoC für SRAM-basierte FPGAs in Weltraumapplikationen) (PDF / PS) Search on Bibsonomy 2020   DOI  RDF
24Mourad Dridi Vers le support des systèmes à criticité mixte sur des architectures NoC. (Mixed criticality system scheduling over NoC architectures). Search on Bibsonomy 2019   RDF
24Amr Hassan, Hassan Mostafa, Hossam A. H. Fahmy NoC-DPR: A new simulation tool exploiting the Dynamic Partial Reconfiguration (DPR) on Network-on-Chip (NoC) based FPGA. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
24Md Farhadur Reza, Tung Thanh Le, Bappaditya Dey, Magdy A. Bayoumi, Dan Zhao 0001 Neuro-NoC: Energy Optimization in Heterogeneous Many-Core NoC using Neural Networks in Dark Silicon Era. Search on Bibsonomy ISCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
24Hamdi Ayed, Jean-Luc Scharbarg, Jérôme Ermont, Christian Fraboul Extended recursive analysis for tilera tile64 NoC architectures: towards inter-NoC delay analysis. Search on Bibsonomy SIGBED Rev. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
24Cezar Reinbrecht, Altamiro Amadeu Susin, Lilian Bossuet, Georg Sigl, Johanna Sepúlveda Timing attack on NoC-based systems: Prime+Probe attack and NoC-based protection. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
24Andreas Weichslgartner Application mapping methodologies for invasive NoC-based architectures = Methoden zur Anwendungsabbildung auf invasive NoC Architekturen. Search on Bibsonomy 2017   RDF
24Christian Schöler Novel scheduling strategies for future NoC and MPSoC architectures (Neue Scheduling Strategien für zukünftige NoC und MPSoC Architekturen) Search on Bibsonomy 2017   RDF
24Zhiliang Qian, Paul Bogdan, Chi-Ying Tsui, Radu Marculescu Performance Evaluation of NoC-Based Multicore Systems: From Traffic Analysis to NoC Latency Modeling. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
24Cezar Reinbrecht, Altamiro Amadeu Susin, Lilian Bossuet, Georg Sigl, Martha Johanna Sepúlveda Side channel attack on NoC-based MPSoCs are practical: NoC Prime+Probe attack. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
24Chao Chen 0003, José L. Abellán, Ajay Joshi Managing Laser Power in Silicon-Photonic NoC Through Cache and NoC Reconfiguration. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
24Douglas R. G. Silva, Bruno S. Oliveira, Fernando Gehm Moraes Effects of the NoC architecture in the performance of NoC-based MPSoCs. Search on Bibsonomy ICECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
24Shaoteng Liu, Axel Jantsch, Zhonghai Lu Analysis and Evaluation of Circuit Switched NoC and Packet Switched NoC. Search on Bibsonomy DSD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
24Khalid Latif 0002, Amir-Mohammad Rahmani, Liang Guang, Tiberiu Seceleanu, Hannu Tenhunen PVS-NoC: Partial Virtual Channel Sharing NoC Architecture. Search on Bibsonomy PDP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
24Amir-Mohammad Rahmani, Pasi Liljeberg, Juha Plosila, Hannu Tenhunen BBVC-3D-NoC: An Efficient 3D NoC Architecture Using Bidirectional Bisynchronous Vertical Channels. Search on Bibsonomy ISVLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
24Seungju Lee, Masao Yanagisawa, Tatsuo Ohtsuki, Nozomu Togawa BusMesh NoC: A novel NoC architecture comprised of bus-based connection and global mesh routers. Search on Bibsonomy APCCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
24Goutam Mali, Suman Das, Hafizur Rahaman 0001, Chandan Giri Non-preemptive test scheduling for Network-on-Chip(NoC) based systems by reusing NoC as TAM. Search on Bibsonomy APCCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
24Luciano Copello Ost Abstract models of NoC-based MPSoCs for design space exploration (Abstract models of NoC-based MPSoCs for design space exploration). Search on Bibsonomy 2010   RDF
24Guangye Tian, Omar Hammami Performance measurements of synchronization mechanisms on 16PE NOC based multi-core with dedicated synchronization and data NOC. Search on Bibsonomy ICECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Hyunbean Yi, Sungju Park, Sandip Kundu A Design-for-Debug (DfD) for NoC-Based SoC Debugging via NoC. Search on Bibsonomy ATS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Dale S. Johnson NOC Internal Integrated Trouble Ticket System Functional Specification Wishlist ("NOC TT REQUIREMENTS"). Search on Bibsonomy RFC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
21Glenn Leary, Karam S. Chatha Automated technique for design of NoC with minimal communication latency. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF best-effort communication, network-on-chip, synthesis, latency
21Leonel Tedesco, Fabien Clermidy, Fernando Moraes 0001 A monitoring and adaptive routing mechanism for QoS traffic on mesh NoC architectures. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF QoS, networks on chip, dynamic routing, traffic monitoring
21Mohammad Hossein Neishaburi, Zeljko Zilic Reliability aware NoC router architecture using input channel buffer sharing. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF system on chip, network on chip, virtual channel
21Ian R. Mackintosh OCP-IP NoC Benchmarking WG activities. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Stanislaw Deniziak, Robert Tomaszewski Rapid Prototyping of NoC Architectures from a SystemC Specification. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Shijun Lin, Li Su 0001, Depeng Jin, Lieguang Zeng Dual-Channel Access Mechanism for Cost-Effective NoC Design. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dual-channel, System-on-Chip, Networks-on-Chip
21Henrique C. Freitas, Philippe Olivier Alexandre Navaux, Tatiana Gadelha Serra dos Santos NOC architecture design for multi-cluster chips. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Dominique Borrione, Amr Helmy, Laurence Pierre, Julien Schmaltz Executable formal specification and validation of NoC communication infrastructures. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF simulation, verification, theorem proving
21Simon Ogg, Bashir M. Al-Hashimi, Alexandre Yakovlev Asynchronous transient resilient links for NoC. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF point to point link, reliability, network-on-chip, asynchronous, transient faults
21Jason D. Lee, Nikhil Gupta 0004, Praveen Bhojwani, Rabi N. Mahapatra An On-Demand Test Triggering Mechanism for NoC-Based Safety-Critical Systems. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF test triggering, network on chip, on-line test
21Mahshid Sedghi, Elnaz Koopahi, Armin Alaghi, Mahmood Fathy, Zainalabedin Navabi An NoC Test Strategy Based on Flooding with Power, Test Time and Coverage Considerations. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Tushar Krishna, Amit Kumar 0002, Patrick Chiang 0001, Mattan Erez, Li-Shiuan Peh NoC with Near-Ideal Express Virtual Channels Using Global-Line Communication. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Hybrid interconnects, Networks-on-chip, Packet-switching
21Simon Ogg, Enrico Valli, Crescenzo D'Alessandro, Alexandre Yakovlev, Bashir M. Al-Hashimi, Luca Benini Reducing Interconnect Cost in NoC through Serialized Asynchronous Links. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21George Michelogiannakis, Dionisios N. Pnevmatikatos, Manolis Katevenis Approaching Ideal NoC Latency with Pre-Configured Routes. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Roman Gindin, Israel Cidon, Idit Keidar NoC-Based FPGA: Architecture and Routing. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21José Carlos S. Palma, Leandro Soares Indrusiak, Fernando Gehm Moraes, Alberto García Ortiz, Manfred Glesner, Ricardo A. L. Reis Inserting Data Encoding Techniques into NoC-Based Systems. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Slavisa Jovanovic, Camel Tanougast, Christophe Bobda, Serge Weber CuNoC: A Scalable Dynamic NoC for Dynamically Reconfigurable FPGAs. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Gilles Sassatelli, Nicolas Saint-Jean, Pascal Benoit, Lionel Torres, Michel Robert, Cristiane R. Woszezenki, Ismael Grehs, Fernando Gehm Moraes Run-time mapping and communication strategies for Homogeneous NoC-Based MPSoCs. Search on Bibsonomy FCCM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Mahmoud Moadeli, Alireza Shahrabi, Wim Vanderbauwhede Analytical modelling of communication in the rectangular mesh NoC. Search on Bibsonomy ICPADS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Gilles Sassatelli, Nicolas Saint-Jean, Cristiane R. Woszezenki, Ismael Grehs, Fernando Gehm Moraes Architectural Issues in Homogeneous NoC-Based MPSoC. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Balasubramanian Sethuraman, Ranga Vemuri Power variations of multi-port routers in an application-specific NoC design : A case study. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Cristian Grecu, Lorena Anghel, Partha Pratim Pande, André Ivanov, Resve A. Saleh Essential Fault-Tolerance Metrics for NoC Infrastructures. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Daniele Rossi 0001, Paolo Angelini, Cecilia Metra Configurable Error Control Scheme for NoC Signal Integrity. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Vu-Duc Ngo, June-Young Chang, Younghwan Bae, Hanjin Cho, Hae-Wook Choi Latency Optimization for NoC Design of H.264 Decoder Based on Self-similar Traffic Modeling. Search on Bibsonomy ISPA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Ümit Y. Ogras, Radu Marculescu "It's a small world after all": NoC performance optimization via long-range link insertion. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Maurizio Palesi, Shashi Kumar, Rickard Holsmark A Method for Router Table Compression for Application Specific Routing in Mesh Topology NoC Architectures. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Federico Angiolini, Paolo Meloni, Salvatore Carta, Luca Benini, Luigi Raffo Contrasting a NoC and a traditional interconnect fabric with layout awareness. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Rikard Thid, Ingo Sander, Axel Jantsch Flexible Bus and NoC Performance Analysis with Configurable Synthetic Workloads. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Sandro Penolazzi, Axel Jantsch A High Level Power Model for the Nostrum NoC. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Milan Pastrnak, Peter H. N. de With, Jef L. van Meerbergen Realization of QoS management using negotiation algorithms for multiprocessor NoC. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Masoud Daneshtalab, Ashkan Sobhani, Ali Afzali-Kusha, Omid Fatemi, Zainalabedin Navabi NoC Hot Spot minimization Using AntNet Dynamic Routing Algorithm. Search on Bibsonomy ASAP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Sri Hari Krishna Narayanan, Mahmut T. Kandemir, Ozcan Ozturk 0001 Compiler-Directed Power Density Reduction in NoC-Based Multi-Core Designs. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 2674 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license