The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase chip-multiprocessor (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1991-1999 (24) 2000-2001 (17) 2002-2003 (29) 2004 (22) 2005 (34) 2006 (65) 2007 (71) 2008 (70) 2009 (50) 2010 (37) 2011 (16) 2012-2013 (20) 2014-2015 (24) 2016-2018 (16) 2019-2021 (8)
Publication types (Num. hits)
article(109) incollection(1) inproceedings(387) phdthesis(6)
Venues (Conferences, Journals, ...)
MICRO(21) ISCA(18) ASPLOS(14) Conf. Computing Frontiers(14) IEEE Trans. Computers(14) ICCD(12) PaCT(12) DATE(11) IPDPS(11) HPCA(10) CODES+ISSS(9) ISLPED(8) SIGARCH Comput. Archit. News(7) CASES(6) IEEE Micro(6) IEEE PACT(6) More (+10 of total 192)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 526 occurrences of 280 keywords

Results
Found 503 publication records. Showing 503 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Mahmut T. Kandemir, Wei Zhang 0002, Mustafa Karaköy Dynamic Parallelization of Array Based On-Chip Multiprocessor Applications. Search on Bibsonomy Embedded Software for SoC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Terry Tao Ye, Giovanni De Micheli Physical Planning for On-Chip Multiprocessor Networks and Switch Fabrics. Search on Bibsonomy ASAP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Matthew C. Chidester, Alan D. George Parallel simulation of chip-multiprocessor architectures. Search on Bibsonomy ACM Trans. Model. Comput. Simul. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Apple
16Tatsuya Koyama, Keisuke Inoue, Hirokazu Hanaki, Masahiro Yasue, Eiji Iwata A 250-MHz single-chip multiprocessor for audio and video signal processing. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Chong-liang Ooi, Seon Wook Kim, Il Park 0001, Rudolf Eigenmann, Babak Falsafi, T. N. Vijaykumar Multiplex: unifying conventional and speculative thread-level parallelism on a chip multiprocessor. Search on Bibsonomy ICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Peter Mattson, Chris Basoglu, Yongmin Kim 0001 Interactive Image Morphing on a Single-Chip Multiprocessor using a Multilayered Parallel Image Computing Library. Search on Bibsonomy Real Time Imaging The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Masato Edahiro, Satoshi Matsushita, Masakazu Yamashina, Naoki Nishi A Single-Chip Multiprocessor for Smart Terminals. Search on Bibsonomy IEEE Micro The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Hitoshi Oi, N. Ranganathan Utilization of cache area in on-chip multiprocessor. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Hitoshi Oi, N. Ranganathan Utilization of Cache Area in On-Chip Multiprocessor. Search on Bibsonomy ISHPC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Benoit Clement, Richard Hersemeule, Etienne Lantreibecq, Bernard Ramanadin, Pierre Coulomb, François Pogodalla Fast Prototyping: A System Design Flow Applied to a Complex System-on-Chip Multiprocessor Design. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF hardware/software (HW/SW) co-design, virtual component (VC) re-use, system design, system modeling, system verification, fast prototyping
16Venkata Krishnan, Josep Torrellas Hardware and Software Support for Speculative Execution of Sequential Binaries on a Chip-multiprocessor. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Stephen W. Keckler Fast thread communication and synchronization mechanisms for a scalable single chip multiprocessor. Search on Bibsonomy 1998   RDF
16Jihong Kim 0001, Yongmin Kim 0001 Performance analysis and tuning for a single-chip multiprocessor DSP. Search on Bibsonomy IEEE Concurrency The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Lance Hammond, Basem A. Nayfeh, Kunle Olukotun A Single-Chip Multiprocessor. Search on Bibsonomy Computer The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Jihong Kim 0001, Yongmin Kim 0001 UWICL: A Multi-Layered Parallel Image Computing Library for Single-Chip Multiprocessor-based Time-Critical Systems. Search on Bibsonomy Real Time Imaging The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Klaus Herrmann 0002, Klaus Gaedke, Jörg Hilgenstock, Peter Pirsch Design of a development system for multimedia applications based on a single chip multiprocessor array. Search on Bibsonomy ICECS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Karl M. Guttag, Robert J. Gove, Jerry R. Van Aken A single-chip multiprocessor for multimedia: the MVP. Search on Bibsonomy IEEE Computer Graphics and Applications The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
16Ulrich Schmidt, Knut Caesar Datawave: a single-chip multiprocessor for video applications. Search on Bibsonomy IEEE Micro The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
16Jinwoo Song, Kee Beom Kim, Yong Ho Song, Ki-Seok Chung Implementation of IEEE802.11a software defined receiver on chip multi-processor architecture using OpenMP. Search on Bibsonomy ICHIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 802.11a, GPP, multi-core, OpenMP, SDR
16Henrique C. Freitas, Dalton M. Colombo, Fernanda Lima Kastensmidt, Philippe Olivier Alexandre Navaux Evaluating Network-on-Chip for Homogeneous Embedded Multiprocessors in FPGAs. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Suleyman Tosun, Nazanin Mansouri, Mahmut T. Kandemir, Ozcan Ozturk 0001 An ILP Formulation for Task Scheduling on Heterogeneous Chip Multiprocessors. Search on Bibsonomy ISCIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Reliability, DVS, energy minimization, duplication, heterogeneous chip multiprocessors
16Juan Chen 0001, Huizhan Yi, Xuejun Yang, Liang Qian Compile-Time Energy Optimization for Parallel Applications in On-Chip Multiprocessors. Search on Bibsonomy International Conference on Computational Science (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Rakesh Kumar 0002, Dean M. Tullsen, Parthasarathy Ranganathan, Norman P. Jouppi, Keith I. Farkas Single-ISA Heterogeneous Multi-Core Architectures for Multithreaded Workload Performance. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Akira Yamawaki 0002, Masahiko Iwane Organization of Shared Memory with Synchronization for Multiprocessor-on-a-chip. Search on Bibsonomy ICPADS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Donglai Dai, Aniruddha S. Vaidya, Roy Saharoy, Seungjoon Park, Dongkook Park, Hariharan L. Thantry, Ralf Plate, Elmar Maas, Akhilesh Kumar, Mani Azimi FPGA-based prototyping of a 2D MESH / TORUS on-chip interconnect (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, routing algorithm, on-chip interconnect, router architecture
14Martin Schoeberl, Florian Brandner, Jan Vitek RTTM: real-time transactional memory. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF real-time systems, transactional memory
14Alyssa Bonnoit, Lawrence T. Pileggi Reducing variability in chip-multiprocessors with adaptive body biasing. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dynamic voltage/frequency scaling, body biasing
14Niket Agarwal, Li-Shiuan Peh, Niraj K. Jha In-network coherence filtering: snoopy coherence without broadcasts. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Jacob Leverich, Hideho Arakida, Alex Solomatnikov, Amin Firoozshahian, Mark Horowitz, Christos Kozyrakis Comparative evaluation of memory models for chip multiprocessors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF streaming memory, parallel programming, Chip multiprocessors, cache coherence, locality optimizations
14Rohit Sunkam Ramanujam, Bill Lin 0001 Near-optimal oblivious routing on three-dimensional mesh networks. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Like Yan, Qingsong Shi, Tianzhou Chen, Guobing Chen An On-chip Communication Mechanism Design in the Embedded Heterogeneous Multi-core Architecture. Search on Bibsonomy ICNSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Karthik Ganesan 0006, Lizy Kurian John, Valentina Salapura, James C. Sexton A Performance Counter Based Workload Characterization on Blue Gene/P. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Hui Wang, Sandeep Baldawa, Rama Sangireddy Dynamic Error Detection for Dependable Cache Coherency in Multicore Architectures. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Jason Zebchuk, Andreas Moshovos A Building Block for Coarse-Grain Optimizations in the On-Chip Memory Hierarchy. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Nidhi Aggarwal, Parthasarathy Ranganathan, Norman P. Jouppi, James E. Smith 0001 Configurable isolation: building high availability systems with commodity multi-core processors. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF chip multiprocessors, high availability, fault isolation
14Xuemei Zhao, Karl Sammut, Fangpo He Performance Evaluation of a Novel CMP Cache Structure for Hybrid Workloads. Search on Bibsonomy PDCAT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Kyriakos Stavrou, Pedro Trancoso Thermal-Aware Scheduling: A Solution for Future Chip Multiprocessors Thermal Problems. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Harit Modi, Lawrence Spracklen, Yuan Chou, Santosh G. Abraham Accurate Modeling of Aggressive Speculation in Modern Microprocessor Architectures. Search on Bibsonomy MASCOTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Guilherme Ottoni, Ram Rangan, Adam Stoler, David I. August Automatic Thread Extraction with Decoupled Software Pipelining. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Rakesh Kumar 0002, Victor V. Zyuban, Dean M. Tullsen Interconnections in Multi-Core Architectures: Understanding Mechanisms, Overheads and Scaling. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Rakesh Kumar 0002, Norman P. Jouppi, Dean M. Tullsen Conjoined-Core Chip Multiprocessing. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Doris Ching, Patrick Schaumont, Ingrid Verbauwhede Integrated Modeling and Generation of a Reconfigurable Network-on-Chip. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Lin Li 0002, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Ismail Kadayif CCC: Crossbar Connected Caches for Reducing Energy Consumption of On-Chip Multiprocessors. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Jaehyuk Huh 0001, Doug Burger, Stephen W. Keckler Exploring the Design Space of Future CMPs. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Jack L. Lo, Susan J. Eggers, Joel S. Emer, Henry M. Levy, Rebecca L. Stamm, Dean M. Tullsen Converting Thread-Level Parallelism to Instruction-Level Parallelism via Simultaneous Multithreading. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF multiprocessors, multithreading, instruction-level parallelism, thread-level parallelism, simultaneous multithreading, cache interference
13Akash Kumar 0001, Shakith Fernando, Yajun Ha, Bart Mesman, Henk Corporaal Multiprocessor systems synthesis for multiple use-cases of multiple applications on FPGA. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-application, multiple use-cases, synchronous data-flow graphs, FPGA, multiprocessor systems, multimedia systems, design exploration
13Andrés Ortiz 0001, Julio Ortega Lopera, Antonio F. Díaz, Alberto Prieto Comparison of Onloading and Offloading Strategies to Improve Network Interfaces. Search on Bibsonomy PDP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Hao Feng, Eric Q. Li, Yurong Chen 0001, Yimin Zhang 0002 Parallelization and characterization of SIFT on multi-core systems. Search on Bibsonomy IISWC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Anca Mariana Molnos, Sorin Dan Cotofana, Marc J. M. Heijligers, Jos T. J. van Eijndhoven Static Cache Partitioning Robustness Analysis for Embedded On-Chip Multi-processors. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Francesco Poletti, Antonio Poggiali, Davide Bertozzi, Luca Benini, Pol Marchal, Mirko Loghi, Massimo Poncino Energy-Efficient Multiprocessor Systems-on-Chip for Embedded Computing: Exploring Programming Models and Their Architectural Support. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded multimedia, low power, energy efficiency, MPSoCs, programming models, task-level parallelism
13Akira Yamawaki 0002, Masahiko Iwane An FPGA implementation of a snoop cache with synchronization for a multiprocessor system-on-chip. Search on Bibsonomy ICPADS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Philip M. Wells, Koushik Chakraborty, Gurindar S. Sohi Hardware support for spin management in overcommitted virtual machines. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF virtual machines, chip multiprocessors, synchronization overhead
13Dong-Ik Ko, Shuvra S. Bhattacharyya The pipeline decomposition tree: : an analysis tool for multiprocessor implementation of image processing applications. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF design space exploration, multiprocessor scheduling, system-level models
13Manish Vachharajani, Neil Vachharajani, David A. Penry, Jason A. Blome, David I. August The Liberty Simulation Environment, version 1.0. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Frédéric Pétrot, Pascal Gomez Lightweight Implementation of the POSIX Threads API for an On-Chip MIPS Multiprocessor with VCI Interconnect. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Khaled Z. Ibrahim, Gregory T. Byrd, Eric Rotenberg Slipstream Execution Mode for CMP-Based Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Praveen Dongara, T. N. Vijaykumar Accelerating private-key cryptography via multithreading on symmetric multiprocessors. Search on Bibsonomy ISPASS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Hironori Kasahara, Motoki Obata, Kazuhisa Ishizaka Automatic Coarse Grain Task Parallel Processing on SMP Using OpenMP. Search on Bibsonomy LCPC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13Bernard Goossens, Hassane Essafi, Marc Pic Hardware and Software Optimizations for Multimedia Databases. Search on Bibsonomy PaCT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Sudipto Das, Shyam Antony, Divyakant Agrawal, Amr El Abbadi CoTS: A Scalable Framework for Parallelizing Frequency Counting over Data Streams. Search on Bibsonomy ICDE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Sheng Li 0007, Jung Ho Ahn, Richard D. Strong, Jay B. Brockman, Dean M. Tullsen, Norman P. Jouppi McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Martin Schoeberl, Wolfgang Puffitsch, Benedikt Huber Towards Time-Predictable Data Caches for Chip-Multiprocessors. Search on Bibsonomy SEUS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11M. Aater Suleman, Onur Mutlu, Moinuddin K. Qureshi, Yale N. Patt Accelerating critical section execution with asymmetric multi-core architectures. Search on Bibsonomy ASPLOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF heterogeneous cores, parallel programming, cmp, multi-core, locks, critical sections
11David Fick, Andrew DeOrio, Jin Hu, Valeria Bertacco, David T. Blaauw, Dennis Sylvester Vicis: a reliable network for unreliable silicon. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF hard faults, fault tolerance, built-in-self-test, Network-on-Chip, reconfiguration, torus, N-modular redundancy
11Christopher B. Colohan, Anastassia Ailamaki, J. Gregory Steffan, Todd C. Mowry Incrementally parallelizing database transactions with thread-level speculation. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF incremental parallelization, Thread-level speculation, chip-multiprocessing, optimistic concurrency
11Natalie D. Enright Jerger, Li-Shiuan Peh, Mikko H. Lipasti Circuit-Switched Coherence. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Interconnection network, multiprocessor systems, cache coherence
11Enric Herrero, José González 0002, Ramon Canal Distributed cooperative caching. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF distributed cooperative caching, energy efficiency, chip multiprocessors, memory hierarchy
11Ke Meng, Russ Joseph, Robert P. Dick, Li Shang Multi-optimization power management for chip multiprocessors. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cache resizing, voltage/frequency scaling, dynamic power management, chip multi-processor
11Ricardo Fernández Pascual, José M. García 0001, Manuel E. Acacio, José Duato A fault-tolerant directory-based cache coherence protocol for CMP architectures. Search on Bibsonomy DSN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11John Cieslewicz, Kenneth A. Ross Data partitioning on chip multiprocessors. Search on Bibsonomy DaMoN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Martti Forsell On the performance and cost of some PRAM models on CMP hardware. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Luis Angel D. Bathen, Nikil D. Dutt, Sudeep Pasricha A framework for memory-aware multimedia application mapping on chip-multiprocessors. Search on Bibsonomy ESTIMedia The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Ozcan Ozturk 0001, Mahmut T. Kandemir, Sri Hari Krishna Narayanan A Scratch-Pad Memory Aware Dynamic Loop Scheduling Algorithm. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Scheduling and Partitioning, Compilers, Multiprocessor Systems
11Shirish Tatikonda, Srinivasan Parthasarathy 0001 An adaptive memory conscious approach for mining frequent trees: implications for multi-core architectures. Search on Bibsonomy PPoPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CMP architectures, frequent tree mining
11Nitin Godiwala, Jud Leonard, Matthew Reilly A Network Fabric for Scalable Multiprocessor Systems. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF networks, MPI, multiprocessors, message passing, fabric, kautz graphs
11Guangyu Chen, Feihui Li, Seung Woo Son 0001, Mahmut T. Kandemir Application mapping for chip multiprocessors. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF NoC (Network on Chip), compilers, power optimization, chip multiprocessing, application mapping
11Bevan M. Baas, Zhiyi Yu, Michael J. Meeuwsen, Omar Sattari, Ryan W. Apperson, Eric W. Work, Jeremy W. Webb, Michael A. Lai, Tinoosh Mohsenin, Dean Truong, Jason Cheung AsAP: A Fine-Grained Many-Core Platform for DSP Applications. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF MIMD processors, GALS networking, embedded systems, multiprocessors, digital signal processing, microarchitecture, special-purpose and application-based systems
11Jaehyuk Huh 0001, Changkyu Kim, Hazim Shafi, Lixin Zhang 0002, Doug Burger, Stephen W. Keckler A NUCA Substrate for Flexible CMP Cache Sharing. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Multiprocessor systems, cache memories, adaptable architectures
11Brian Greskamp, Josep Torrellas Paceline: Improving Single-Thread Performance in Nanoscale CMPs through Core Overclocking. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Guangyu Chen, Feihui Li, Mahmut T. Kandemir Reducing Energy Consumption of On-Chip Networks Through a Hybrid Compiler-Runtime Approach. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Meeta Sharma Gupta, Jarod L. Oatley, Russ Joseph, Gu-Yeon Wei, David M. Brooks Understanding voltage variations in chip multiprocessors using a distributed power-delivery network. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Xuemei Zhao, Karl Sammut, Fangpo He, Shaowen Qin Split Private and Shared L2 Cache Architecture for Snooping-based CMP. Search on Bibsonomy ACIS-ICIS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Jason Zebchuk, Elham Safi, Andreas Moshovos A Framework for Coarse-Grain Optimizations in the On-Chip Memory Hierarchy. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Onur Mutlu, Thomas Moscibroda Stall-Time Fair Memory Access Scheduling for Chip Multiprocessors. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Kypros Constantinides, Onur Mutlu, Todd M. Austin, Valeria Bertacco Software-Based Online Detection of Hardware Defects Mechanisms, Architectural Support, and Evaluation. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Yale N. Patt The Transformation Hierarchy in the Era of Multi-core. Search on Bibsonomy HiPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Reinaldo A. Bergamaschi, Indira Nair, Gero Dittmann, Hiren D. Patel, Geert Janssen, Nagu R. Dhanwada, Alper Buyuktosunoglu, Emrah Acar, Gi-Joon Nam, Dorothy Kucar, Pradip Bose, John A. Darringer, Guoling Han Performance modeling for early analysis of multi-core systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF early analysis, multi-core systems modeling, physical analysis, performance, power analysis, transaction-level modeling
11Davy Genbrugge, Lieven Eeckhout Statistical simulation of chip multiprocessors running multi-program workloads. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Xiaofang Wang, Sotirios G. Ziavras Performance-Energy Tradeoffs for Matrix Multiplication on FPGA-Based Mixed-Mode Chip Multiprocessors. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Nabil Hasasneh, Ian M. Bell, Chris R. Jesshope Scalable and Partitionable Asynchronous Arbiter for Micro-threaded Chip Multiprocessors. Search on Bibsonomy ARCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Ilya Wagner, Valeria Bertacco, Todd M. Austin Depth-driven verification of simultaneous interfaces. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Pengyong Ma, Xiao Hu, Shuming Chen, Yang Guo Pseudo Share Data Cache in Multiprocessor: PSDMP. Search on Bibsonomy ISPA Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Lisa R. Hsu, Ravishankar R. Iyer 0001, Srihari Makineni, Steven K. Reinhardt, Donald Newell Exploring the cache design space for large scale CMPs. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Ben Wun, Jeremy Buhler, Patrick Crowley Exploiting Coarse-Grained Parallelism to Accelerate Protein Motif Finding with a Network Processor. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Mahmut T. Kandemir, Guangyu Chen, Feihui Li, I. Demirkiran Using data replication to reduce communication energy on chip multiprocessors. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Fredrik Warg, Per Stenström Reducing misspeculation overhead for module-level speculative execution. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF misspeculation prediction, module-level parallelism, performance evaluation, chip multiprocessors, thread-level speculation
11Manohar K. Prabhu, Kunle Olukotun Exposing speculative thread parallelism in SPEC2000. Search on Bibsonomy PPoPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SPEC CPU2000, feedback-driven optimization, manual parallel programming, chip multiprocessors, multithreading, thread-level speculation
11Xiandong Meng, Vipin Chaudhary Bio-sequence analysis with cradle's 3SoCTM software scalable system on chip. Search on Bibsonomy SAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF 3SoC chip, Digital Signal Processors, Smith-Waterman algorithm
11Clinton Kelly IV, Virantha N. Ekanayake, Rajit Manohar SNAP: A Sensor-Network Asynchronous Processor. Search on Bibsonomy ASYNC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Ismail Kadayif, Mahmut T. Kandemir, Ugur Sezer An integer linear programming based approach for parallelizing applications in On-chip multiprocessors. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF constraint-based compilation, embedded systems, loop-Level parallelism
Displaying result #301 - #400 of 503 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license