The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for cmps with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-2004 (18) 2005 (20) 2006 (38) 2007 (61) 2008 (58) 2009 (61) 2010 (43) 2011 (34) 2012 (24) 2013 (23) 2014 (19) 2015-2016 (33) 2017-2018 (20) 2019-2022 (16) 2023 (1)
Publication types (Num. hits)
article(100) inproceedings(365) phdthesis(4)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 391 occurrences of 212 keywords

Results
Found 469 publication records. Showing 469 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Ana Bosque, Víctor Viñals, Pablo Ibáñez, José M. Llabería Filtering Directory Lookups in CMPs. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Michael Mihn-Jong Lee, John Kim, Dennis Abts, Michael R. Marty, Jae W. Lee Probabilistic Distance-Based Arbitration: Providing Equality of Service for Many-Core CMPs. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Man Cao, Bin Xin, Fuming Qiao, Qingsong Shi, Tianzhou Chen, Like Yan Distributed Memory Management Units Architecture for NoC-based CMPs. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF memory management unit, network on chip, on-chip communication
19Xiaomin Jia, Jiang Jiang, Tianlei Zhao, Shubo Qi, Minxuan Zhang Towards Online Application Cache Behaviors Identification in CMPs. Search on Bibsonomy HPCC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Alberto Ros 0001, Manuel E. Acacio Evaluation of Low-Overhead Organizations for the Directory in Future Many-Core CMPs. Search on Bibsonomy Euro-Par Workshops The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Karan Singh, Matthew Curtis-Maury, Sally A. McKee, Filip Blagojevic, Dimitrios S. Nikolopoulos, Bronis R. de Supinski, Martin Schulz 0001 Comparing Scalability Prediction Strategies on an SMP of CMPs. Search on Bibsonomy Euro-Par (1) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Konrad Malkowski, Padma Raghavan, Mahmut T. Kandemir, Mary Jane Irwin T-NUCA - a novel approach to non-uniform access latency cache architectures for 3D CMPs. Search on Bibsonomy IPDPS Workshops The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Major Bhadauria, Sally A. McKee An approach to resource-aware co-scheduling for CMPs. Search on Bibsonomy ICS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF scheduling, performance, energy efficiency, CMP
19Dan Wu, Kui Dai, Xuecheng Zou, Jinli Rao, Pan Chen A High Efficient On-Chip Interconnection Network in SIMD CMPs. Search on Bibsonomy ICA3PP (1) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Sandro Bartolini, Pierfrancesco Foglia, Marco Solinas, Cosimo Antonio Prete Feedback-Driven Restructuring of Multi-threaded Applications for NUCA Cache Performance in CMPs. Search on Bibsonomy SBAC-PAD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19José L. Abellán, Juan Fernández 0001, Manuel E. Acacio A G-Line-Based Network for Fast and Efficient Barrier Synchronization in Many-Core CMPs. Search on Bibsonomy ICPP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Martti Forsell, Ville Leppänen Supporting Concurrent Memory Access and Multioperations in Moving Threads CMPs. Search on Bibsonomy PDPTA The full citation details ... 2010 DBLP  BibTeX  RDF
19Asit K. Mishra, Shekhar Srikantaiah, Mahmut T. Kandemir, Chita R. Das CPM in CMPs: Coordinated Power Management in Chip-Multiprocessors. Search on Bibsonomy SC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Shekhar Srikantaiah, Mahmut T. Kandemir SRP: Symbiotic Resource Partitioning of the Memory Hierarchy in CMPs. Search on Bibsonomy HiPEAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Marco Paolieri, Eduardo Quiñones, Francisco J. Cazorla, Mateo Valero An Analyzable Memory Controller for Hard Real-Time CMPs. Search on Bibsonomy IEEE Embed. Syst. Lett. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Yang Ding, Mahmut T. Kandemir, Padma Raghavan, Mary Jane Irwin Adapting application execution in CMPs using helper threads. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Carlos Luque, Miquel Moretó, Francisco J. Cazorla, Roberto Gioiosa, Alper Buyuktosunoglu, Mateo Valero ITCA: Inter-task Conflict-Aware CPU Accounting for CMPs. Search on Bibsonomy PACT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Wanli Liu, Donald Yeung Using Aggressor Thread Information to Improve Shared Cache Management for CMPs. Search on Bibsonomy PACT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Pierfrancesco Foglia, Francesco Panicucci, Cosimo Antonio Prete, Marco Solinas An Evaluation of Behaviors of S-NUCA CMPs Running Scientific Workload. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Yu Zhang, Berkin Özisikyilmaz, Gokhan Memik, John Kim, Alok N. Choudhary Analyzing the impact of on-chip network traffic on program phases for CMPs. Search on Bibsonomy ISPASS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Javier Lira, Carlos Molina, Antonio González 0001 Last Bank: Dealing with Address Reuse in Non-Uniform Cache Architecture for CMPs. Search on Bibsonomy Euro-Par The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Karan Singh, Major Bhadauria, Sally A. McKee Prediction-based power estimation and scheduling for CMPs. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF power estimation, performance counters
19Ami Marowka Empirical Analysis of Parallelism Overheads on CMPs. Search on Bibsonomy PPAM (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Major Bhadauria, Vincent M. Weaver, Sally A. McKee Understanding PARSEC performance on contemporary CMPs. Search on Bibsonomy IISWC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Matteo Monchiero, Ramon Canal, Antonio González 0001 Using Coherence Information and Decay Techniques to Optimize L2 Cache Leakage in CMPs. Search on Bibsonomy ICPP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Dennis Abts, Natalie D. Enright Jerger, John Kim, Dan Gibson, Mikko H. Lipasti Achieving predictable performance through better memory controller placement in many-core CMPs. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF interconnection networks, chip multiprocessors, routing algorithms, memory controllers
19Brian Greskamp Improving Per-Thread Performance on CMPs through Timing Speculation Search on Bibsonomy 2009   RDF
19Jeffrey C. Mogul, Jayaram Mudigonda, Nathan L. Binkert, Parthasarathy Ranganathan, Vanish Talwar Using Asymmetric Single-ISA CMPs to Save Energy on Operating Systems. Search on Bibsonomy IEEE Micro The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Hyunhee Kim, Sungjun Youn, Jihong Kim 0001 A leakage-aware cache sharing technique for low-power chip multi-processors (CMPs) with private L2 caches. Search on Bibsonomy MEDEA@PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Samuel Rodrigo, José Flich, José Duato, Mark Hummel Efficient unicast and multicast support for CMPs. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Ricardo Fernández Pascual, José M. García 0001, Manuel E. Acacio, José Duato Fault-Tolerant Cache Coherence Protocols for CMPs: Evaluation and Trade-Offs. Search on Bibsonomy HiPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Mladen Nikitovic, Thomas De Schampheleire, Mats Brorsson A study on periodic shutdown for adaptive CMPs in handheld devices. Search on Bibsonomy ACSAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Major Bhadauria, Sally A. McKee Optimizing thread throughput for multithreaded workloads on memory constrained CMPs. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF performance, efficiency, power, memory bandwidth
19Jason Cong, Guoling Han, Ashok Jagannathan, Glenn Reinman, Krzysztof Rutkowski Accelerating Sequential Applications on CMPs Using Core Spilling. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Brian Greskamp, Josep Torrellas Paceline: Improving Single-Thread Performance in Nanoscale CMPs through Core Overclocking. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Isao Kotera, Ryusuke Egawa, Hiroyuki Takizawa, Hiroaki Kobayashi A power-aware shared cache mechanism based on locality assessment of memory reference for CMPs. Search on Bibsonomy MEDEA@PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Wenlong Li, Eric Q. Li, Aamer Jaleel, Jiulong Shan, Yurong Chen 0001, Qigang Wang, Ravi R. Iyer 0001, Ramesh Illikkal, Yimin Zhang 0002, Dong Liu, Michael Liao, Wei Wei, Jinhua Du Understanding the Memory Performance of Data-Mining Workloads on Small, Medium, and Large-Scale CMPs Using Hardware-Software Co-simulation. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF DRAM caches, small-scale CMP, medium-scale CMP, large-scale CMP, hardware-software co-simulation, terabyte-level workloads, multithreaded data mining applications, cache design, memory performance, multicore systems, memory system performance
19Joseph J. Sharkey, Alper Buyuktosunoglu, Pradip Bose Evaluating design tradeoffs in on-chip power management for CMPs. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fetch throttling, dynamic voltage scaling, power-aware, chip multi-processor
19Abhishek Das, Serkan Ozdemir, Gokhan Memik, Alok N. Choudhary Evaluating voltage islands in CMPs under process variations. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Amirali Shayan Arani Online thermal-aware scheduling for multiple clock domain CMPs. Search on Bibsonomy SoCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Ali Yehia, Khaled El-Ayat Exploring Core Diversity in Heterogeneous CMPs. Search on Bibsonomy PDPTA The full citation details ... 2007 DBLP  BibTeX  RDF
19Ram Rangan, Neil Vachharajani, Adam Stoler, Guilherme Ottoni, David I. August, George Z. N. Cai Support for High-Frequency Streaming in CMPs. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Tomasz Madajczak, Henryk Krawczyk Integrating SHECS-Based Critical Sections with Hardware SMP Scheduler in TLP-CMPs. Search on Bibsonomy PARELEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Anahita Shayesteh, Glenn Reinman, Norman P. Jouppi, Timothy Sherwood, Suleyman Sair Improving the performance and power efficiency of shared helpers in CMPs. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF constructive sharing, factored core, flexible sharing, helper configuration, helper engine, sharing policy, CMP, phase
19Kent White A comprehensive CMPS II semester project. Search on Bibsonomy ACM SIGCSE Bull. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF computer science II, singly linked list, graphics, stack, matrices, maze
19Qinghuai Gao, Jun He, Guisheng Qiu, Qingyun Shi A color map processing system PU-CMPS. Search on Bibsonomy ICDAR The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
11Aparna Mandke Dani, Keshavan Varadarajan, Bharadwaj Amrutur, Y. N. Srikant Accelerating multi-core simulators. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF chip multi-core, multi-core platform, timed petri-nets, instruction set simulator, cache simulator
11Takeshi Ogasawara Scalability limitations when running a Java web server on a chip multiprocessor. Search on Bibsonomy SYSTOR The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance, multi-cores, JVMs, web servers
11Christopher J. Rossbach, Owen S. Hofmann, Emmett Witchel Is transactional programming actually easier? Search on Bibsonomy PPoPP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF synchronization, transactional memory, optimistic concurrency
11Petar Radojkovic, Vladimir Cakarevic, Javier Verdú, Alex Pajuelo, Francisco J. Cazorla, Mario Nemirovsky, Mateo Valero Thread to strand binding of parallel network applications in massive multi-threaded systems. Search on Bibsonomy PPoPP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF ultrasparc t2, simultaneous multithreading, process scheduling, cmt
11Rehan Hameed, Wajahat Qadeer, Megan Wachs, Omid Azizi, Alex Solomatnikov, Benjamin C. Lee, Stephen Richardson, Christos Kozyrakis, Mark Horowitz Understanding sources of inefficiency in general-purpose chips. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF tensilica, energy efficiency, chip multiprocessor, customization, ASIC, h.264, high performance
11Daniel Sánchez 0003, Richard M. Yoo, Christos Kozyrakis Flexible architectural support for fine-grain scheduling. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fine-grain scheduling, scheduling, chip-multiprocessors, messaging, many-core, work-stealing
11Yoshi Shih-Chieh Huang, Kaven Chun-Kai Chou, Chung-Ta King, Shau-Yin Tseng NTPT: on the end-to-end traffic prediction in the on-chip networks. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF end-to-end traffic prediction, network-on-chip, many-core
11Dimitris Tsirogiannis, Nick Koudas Suffix tree construction algorithms on modern hardware. Search on Bibsonomy EDBT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multi-core, suffix tree
11Major Bhadauria, Sally A. McKee, Karan Singh, Gary S. Tyson Data Cache Techniques to Save Power and Deliver High Performance in Embedded Systems. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Asit K. Mishra, Reetuparna Das, Soumya Eachempati, Ravishankar R. Iyer 0001, Narayanan Vijaykrishnan, Chita R. Das A case for dynamic frequency tuning in on-chip networks. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Boris Grot, Stephen W. Keckler, Onur Mutlu Preemptive virtual clock: a flexible, efficient, and cost-effective QOS scheme for networks-on-chip. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Jason Zebchuk, Vijayalakshmi Srinivasan, Moinuddin K. Qureshi, Andreas Moshovos A tagless coherence directory. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF directory coherence, cache coherence, Bloom filters
11Eiman Ebrahimi, Onur Mutlu, Chang Joo Lee, Yale N. Patt Coordinated control of multiple prefetchers in multi-core systems. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF prefetching, multi-core, feedback control, memory systems
11Niket Agarwal, Li-Shiuan Peh, Niraj K. Jha In-Network Snoop Ordering (INSO): Snoopy coherence on unordered interconnects. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Ping Zhou, Bo Zhao 0007, Yu Du, Yi Xu, Youtao Zhang, Jun Yang 0002, Li Zhao 0002 Frequent value compression in packet-based NoC architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Jaideep Moses, Konstantinos Aisopos, Aamer Jaleel, Ravi R. Iyer 0001, Ramesh Illikkal, Donald Newell, Srihari Makineni CMPSched$im: Evaluating OS/CMP interaction on shared cache management. Search on Bibsonomy ISPASS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Niket Agarwal, Tushar Krishna, Li-Shiuan Peh, Niraj K. Jha GARNET: A detailed on-chip network model inside a full-system simulator. Search on Bibsonomy ISPASS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Guanjun Jiang, Degui Feng, Liangliang Tong, Lingxiang Xiang, Chao Wang 0058, Tianzhou Chen L1 Collective Cache: Managing Shared Data for Chip Multiprocessors. Search on Bibsonomy APPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CMP, cache design, L1 cache
11JaeWoong Chung, Woongki Baek, Christos Kozyrakis Fast memory snapshot for concurrent programmingwithout synchronization. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF transactional memory, snapshot
11Noriko Takagi, Hiroshi Sasaki 0001, Masaaki Kondo, Hiroshi Nakamura Cooperative shared resource access control for low-power chip multiprocessors. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power, chip multiprocessors, cache partitioning, dvfs, resource conflict
11Guangyu Sun 0003, Xiaoxia Wu, Yuan Xie 0001 Exploration of 3D stacked L2 cache design for high performance and efficient thermal control. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF thermal control, performance, 3D, L2 caches
11Avinash Karanth Kodi, Ahmed Louri, Janet Meiling Wang Design of energy-efficient channel buffers with router bypassing for network-on-chips (NoCs). Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Sai Prashanth Muralidhara, Mahmut T. Kandemir Communication Based Proactive Link Power Management. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Abhishek Bhattacharjee, Margaret Martonosi Thread criticality predictors for dynamic performance, power, and resource management in chip multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF intel tbb, thread criticality prediction, parallel processing, caches, dvfs
11Amin Firoozshahian, Alex Solomatnikov, Ofer Shacham, Zain Asgar, Stephen Richardson, Christos Kozyrakis, Mark Horowitz A memory system design framework: creating smart memories. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF memory access protocol, protocol controller, transactional memory, reconfigurable architecture, cache coherence, memory systems, multi-core processors, stream programming
11Krishna K. Rangan, Gu-Yeon Wei, David M. Brooks Thread motion: fine-grained power management for multi-core systems. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-core power management, thread motion, dvfs
11Xiaoxia Wu, Jian Li 0059, Lixin Zhang 0002, Evan Speight, Ramakrishnan Rajamony, Yuan Xie 0001 Hybrid cache architecture with disparate memory technologies. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF hybrid cache architecture, three-dimensional ic
11M. Aater Suleman, Onur Mutlu, Moinuddin K. Qureshi, Yale N. Patt Accelerating critical section execution with asymmetric multi-core architectures. Search on Bibsonomy ASPLOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF heterogeneous cores, parallel programming, cmp, multi-core, locks, critical sections
11Hamid Shojaei, Amir Hossein Ghamarian, Twan Basten, Marc Geilen, Sander Stuijk, Rob Hoes A parameterized compositional multi-dimensional multiple-choice knapsack heuristic for CMP run-time management. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CMP run-time management, MMKP, Pareto algebra
11Antonio Flores, Juan L. Aragón, Manuel E. Acacio An energy consumption characterization of on-chip interconnection networks for tiled CMP architectures. Search on Bibsonomy J. Supercomput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Power dissipation model, Microarchitectural level simulator, Heterogeneus on-chip interconnection network, Chip-multiprocessor, Parallel scientific applications
11Ricardo Fernández Pascual, José M. García 0001, Manuel E. Acacio, José Duato Extending the TokenCMP Cache Coherence Protocol for Low Overhead Fault Tolerance in CMP Architectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Jieyi Long, Seda Ogrenci Memik, Gokhan Memik, Rajarshi Mukherjee Thermal monitoring mechanisms for chip multiprocessors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Thermal sensor allocation, nonuniform and uniform sensor placement
11Jacob Leverich, Hideho Arakida, Alex Solomatnikov, Amin Firoozshahian, Mark Horowitz, Christos Kozyrakis Comparative evaluation of memory models for chip multiprocessors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF streaming memory, parallel programming, Chip multiprocessors, cache coherence, locality optimizations
11Itamar Cohen, Ori Rottenstreich, Isaac Keslassy Statistical Approach to NoC Design. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF T-Plot, NoC, statistical approach, capacity allocation, traffic matrices
11Christian Bienia, Sanjeev Kumar, Jaswinder Pal Singh, Kai Li 0001 The PARSEC benchmark suite: characterization and architectural implications. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF benchmark suite, shared-memory computers, multithreading, performance measurement
11Enric Herrero, José González 0002, Ramon Canal Distributed cooperative caching. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF distributed cooperative caching, energy efficiency, chip multiprocessors, memory hierarchy
11Hemayet Hossain, Sandhya Dwarkadas, Michael C. Huang 0001 Improving support for locality and fine-grain sharing in chip multiprocessors. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ARMCO, L1-to-L1 direct access, fine-grain sharing, chip multiprocessors, cache coherence
11Nidhi Aggarwal, James E. Smith 0001, Kewal K. Saluja, Norman P. Jouppi, Parthasarathy Ranganathan Implementing high availability memory with a duplication cache. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11J. Rubén Titos Gil, Manuel E. Acacio, José M. García 0001 Directory-Based Conflict Detection in Hardware Transactional Memory. Search on Bibsonomy HiPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Sushu Zhang, Karam S. Chatha Automated techniques for energy efficient scheduling on homogeneous and heterogeneous chip multi-processor architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Feihui Li, Mahmut T. Kandemir, Mary Jane Irwin Implementation and evaluation of a migration-based NUCA design for chip multiprocessors. Search on Bibsonomy SIGMETRICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF NUCA, post office placement problem, CMP, data migration
11Amit Kumar 0002, Niket Agarwal, Li-Shiuan Peh, Niraj K. Jha A system-level perspective for efficient NoC design. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Alberto Ros 0001, Manuel E. Acacio, José M. García 0001 DiCo-CMP: Efficient cache coherency in tiled CMP architectures. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Justin Teller, Fusun Ozgiiner, Robert Ewing 0001 Scheduling reconfiguration at runtime on the TRIPS processor. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Padma Raghavan, Mahmut T. Kandemir, Mary Jane Irwin, Konrad Malkowski Managing power, performance and reliability trade-offs. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Taylan Yemliha, Shekhar Srikantaiah, Mahmut T. Kandemir, Mustafa Karaköy, Mary Jane Irwin Integrated code and data placement in two-dimensional mesh based chip multiprocessors. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Michele Petracca, Keren Bergman, Luca P. Carloni Photonic networks-on-chip: Opportunities and challenges. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Padma Apparao, Ravi R. Iyer 0001, Donald Newell Implications of cache asymmetry on server consolidation performance. Search on Bibsonomy IISWC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Ted Huffmire, Jonathan Valamehr, Timothy Sherwood, Ryan Kastner, Timothy E. Levin, Thuy D. Nguyen, Cynthia E. Irvine Trustworthy System Security through 3-D Integrated Hardware. Search on Bibsonomy HOST The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Sean Rul, Hans Vandierendonck, Koen De Bosschere Extracting coarse-grain parallelism in general-purpose programs. Search on Bibsonomy PPoPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF do-across, thread-level parallelism, coarse-grain parallelism
11Rezaul Alam Chowdhury, Vijaya Ramachandran Cache-efficient dynamic programming algorithms for multicores. Search on Bibsonomy SPAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallelism, multicore, shared cache, distributed cache, cache-efficiency
11Chinnakrishnan S. Ballapuram, Ahmad Sharif, Hsien-Hsin S. Lee Exploiting access semantics and program behavior to reduce snoop power in chip multiprocessors. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF MESI protocol, internal and external snoops, self-modifying code, chip multiprocessors
11Sebastian Herbert, Diana Marculescu Characterizing chip-multiprocessor variability-tolerance. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF frequency islands, chip-multiprocessor, process variability
11Zvika Guz, Idit Keidar, Avinoam Kolodny, Uri C. Weiser Nahalal: Cache Organization for Chip Multiprocessors. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 469 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license