The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase cycle-accurate (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1995-2000 (26) 2001-2002 (33) 2003 (32) 2004 (57) 2005 (68) 2006 (60) 2007 (60) 2008 (54) 2009 (35) 2010 (17) 2011-2012 (17) 2013-2014 (15) 2015-2017 (27) 2018-2019 (18) 2020-2023 (17) 2024 (1)
Publication types (Num. hits)
article(93) inproceedings(440) phdthesis(4)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 455 occurrences of 299 keywords

Results
Found 537 publication records. Showing 537 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
6Nicolas Anciaux, Luc Bouganim, Philippe Pucheral, Patrick Valduriez DiSC: Benchmarking Secure Chip DBMS. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6F. Ryan Johnson, JoAnn M. Paul Interrupt modeling for efficient high-level scheduler design space exploration. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF scenario oriented design, MESH, Heterogeneous chip multiprocessors
6Francesco Menichelli, Renato Menicocci, Mauro Olivieri, Alessandro Trifiletti High-Level Side-Channel Attack Modeling and Simulation for Security-Critical Systems on Chips. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Rishad A. Shafik, Paul M. Rosinger, Bashir M. Al-Hashimi MPEG-based Performance Comparison between Network-on-Chip and AMBA MPSoC. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Nupur Kothari, Kiran Nagaraja, Vijay Raghunathan, Florin Sultan, Srimat T. Chakradhar HERMES: A Software Architecture for Visibility and Control in Wireless Sensor Network Deployments. Search on Bibsonomy IPSN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF interposition, sensor networks, control, software reliability, visibility, SOS
6Fabrizio Mulas, Michele Pittau, Marco Buttu, Salvatore Carta, Andrea Acquaviva, Luca Benini, David Atienza, Giovanni De Micheli Thermal Balancing Policy for Streaming Computing on Multiprocessor Architectures. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Sungchan Kim, Chanik Park, Soonhoi Ha Architecture Exploration of NAND Flash-based Multimedia Card. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Karin Avnit, Vijay D'Silva, Arcot Sowmya, S. Ramesh, Sri Parameswaran A Formal Approach To The Protocol Converter Problem. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Kim Grüttner, Frank Oppenheimer, Wolfgang Nebel, Fabien Colas-Bigey, Anne-Marie Fouilliart SystemC-based Modelling, Seamless Refinement, and Synthesis of a JPEG 2000 Decoder. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Abdellah-Medjadji Kouadri-Mostefaoui, Benaoumeur Senouci, Frédéric Pétrot Large Scale On-Chip Networks : An Accurate Multi-FPGA Emulation Platform. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Yanqin Yang, Zili Shao, Linfeng Pan, Minyi Guo ISOS: Space Overlapping Based on Iteration Access Patterns for Dynamic Scratch-pad Memory Management in Embedded Systems. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Jun Ho Bahn, Jungsook Yang, Nader Bagherzadeh Parallel FFT Algorithms on Network-on-Chips. Search on Bibsonomy ITNG The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Embedded DSP system, Parallel Programming, FFT, Network-on-Chip (NoC)
6Ying Yu, Raymond R. Hoare, Alex K. Jones A CAM-based intrusion detection system for single-packet attack detection. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Young-Hwan Park, Sudeep Pasricha, Fadi J. Kurdahi, Nikil D. Dutt Methodology for multi-granularity embedded processor power model generation for an ESL design flow. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF system-on-chip, embedded processor, power modeling, esl
6Theo Kluter, Philip Brisk, Paolo Ienne, Edoardo Charbon Speculative DMA for architecturally visible storage in instruction set extensions. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF architecturally visible storage, speculative direct memory access, instruction set extensions, application-specific processors
6Daniel D. Gajski, Samar Abdi, Ines Viskic Model Based Synthesis of Embedded Software. Search on Bibsonomy SEUS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Zhong-Yi Jin, Rajesh Gupta 0001 Improved Distributed Simulation of Sensor Networks Based on Sensor Node Sleep Time. Search on Bibsonomy DCOSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Anders Sejer Tranberg-Hansen, Jan Madsen, Bjørn Sand Jensen A service based estimation method for MPSoC performance modelling. Search on Bibsonomy SIES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6John D. Davis, Zhangxi Tan, Fang Yu 0002, Lintao Zhang Designing an Efficient Hardware Implication Accelerator for SAT Solving. Search on Bibsonomy SAT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Brian Keng, Hratch Mangassarian, Andreas G. Veneris A succinct memory model for automated design debugging. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Oreste Villa, Gianluca Palermo, Cristina Silvano Efficiency and scalability of barrier synchronization on NoC based many-core architectures. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF scalability, synchronization, efficiency, Multicore, NoC, barrier, Manycore
6Major Bhadauria, Sally A. McKee Optimizing thread throughput for multithreaded workloads on memory constrained CMPs. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF performance, efficiency, power, memory bandwidth
6Xingzhi Wen, Uzi Vishkin Fpga-based prototype of a pram-on-chip processor. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ease-of-programming, explicit multi-threading, on-chip parallel processor, pram, parallel algorithms, xmt
6Wei Han 0001, Ying Yi, Mark Muir, Ioannis Nousias, Tughrul Arslan, Ahmet Teyfik Erdogan MRPSIM: A TLM based simulation tool for MPSOCS targeting dynamically reconfigurable processors. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Enkhbold Ochirsuren, Heiko Hinkelmann, Leandro Soares Indrusiak, Manfred Glesner TinyOS Extensions for a Wireless Sensor Network Node Based on a Dynamically Reconfigurable Processor. Search on Bibsonomy DIPES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Christophe Clavier, Benedikt Gierlichs, Ingrid Verbauwhede Fault Analysis Study of IDEA. Search on Bibsonomy CT-RSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Collision Fault Analysis, Ineffective Fault Analysis, Random Fault Model, IDEA, Differential Fault Analysis
6Berkin Özisikyilmaz, Gokhan Memik, Alok N. Choudhary Machine Learning Models to Predict Performance of Computer System Design Alternatives. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Thomas M. DuBois, Bryant C. Lee, Yi Wang, Marc Olano, Uzi Vishkin XMT-GPU: A PRAM Architecture for Graphics Computation. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Liqun Cheng, John B. Carter Extending CC-NUMA systems to support write update optimizations. Search on Bibsonomy SC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Hao Shen, Frédéric Pétrot MPSoC Communication Architecture Exploration Using an Abstraction Refinement Method. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Aydin O. Balkan, Gang Qu 0001, Uzi Vishkin An area-efficient high-throughput hybrid interconnection network for single-chip parallel processing. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF hybrid networks, on-chip networks, mesh-of-trees
6John D. Davis, Zhangxi Tan, Fang Yu 0002, Lintao Zhang A practical reconfigurable hardware accelerator for Boolean satisfiability solvers. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF BCP, FPGA, reconfigurable, SAT solver, co-processor
6Ke Ning, David R. Kaeli Power Aware External Bus Arbitration for System-on-a-Chip Embedded Systems. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded systems, power-aware, external memory, media processor, bus arbitration
6N. Pete Sedcole, Peter Y. K. Cheung, George A. Constantinides, Wayne Luk Run-Time Integration of Reconfigurable Video Processing Systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Nevine AbouGhazaleh, Bruce R. Childers, Daniel Mossé, Rami G. Melhem Near-Memory Caching for Improved Energy Consumption. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Memory power management, Cached DRAM, Power Management, Energy-aware systems, Memory design
6Partha Biswas, Nikil D. Dutt, Laura Pozzi, Paolo Ienne Introduction of Architecturally Visible Storage in Instruction Set Extensions. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Youngjin Cho, Naehyuck Chang Energy-Aware Clock-Frequency Assignment in Microprocessors and Memory Devices for Dynamic Voltage Scaling. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Salvatore Carta, Andrea Alimonda, Alessandro Pisano, Andrea Acquaviva, Luca Benini A control theoretic approach to energy-efficient pipelined computation in MPSoCs. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF feedback-control techniques, parallel systems, MPSoC, DVFS
6David Atienza, Pablo García Del Valle, Giacomo Paci, Francesco Poletti, Luca Benini, Giovanni De Micheli, Jose Manuel Mendias, Román Hermida HW-SW emulation framework for temperature-aware design in MPSoCs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Thermal-aware design, FPGA, emulation, MPSoC, temperature
6Antoine Fraboulet, Guillaume Chelius, Eric Fleury Worldsens: development and prototyping tools for application specific wireless sensors networks. Search on Bibsonomy IPSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF simulation, sensor networks, performance, development
6Mark H. Nodine Automatic Testbench Generation for Rearchitected Designs. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Nicolas Fournel, Antoine Fraboulet, Paul Feautrier Fast and Accurate Embedded Systems Energy Characterization Using Non-intrusive Measurements. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Arno Moonen, Marco Bekooij, René van den Berg, Jef L. van Meerbergen Practical and Accurate Throughput Analysis with the Cyclo Static Dataflow Model. Search on Bibsonomy MASCOTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Naser Sedaghati-Mokhtari, Mahdi Nazm Bojnordi, Sied Mehdi Fakhraie MDST: Multiprocessor DSP Simulation Toolkit for Voice Processing Applications. Search on Bibsonomy MASCOTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF MDST, Multiprocessor DSP Simulation toolkit, Voice processing applications
6Liqun Cheng, John B. Carter, Donglai Dai An Adaptive Cache Coherence Protocol Optimized for Producer-Consumer Sharing. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Chung-Fu Kao, Chi-Hung Lin, Ing-Jer Huang Configurable AMBA On-Chip Real-Time Signal Tracer. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Alexey Kupriyanov, Dmitrij Kissler, Frank Hannig, Jürgen Teich Efficient event-driven simulation of parallel processor architectures. Search on Bibsonomy SCOPES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded tools, simulation, modeling, processor arrays
6Jiang Lin, Hongzhong Zheng, Zhichun Zhu, Zhao Zhang 0010, Howard David DRAM-Level Prefetching for Fully-Buffered DIMM: Design, Performance and Power Saving. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF channel bandwidth utilization, DRAM-level prefetching, dynamic random access memory, fully-buffered DIMM, dual in-line memory module, redundant bandwidth, memory block, L2 cache block, DRAM power consumption, SPEC2000 program, software cache prefetching, idle memory latency, power saving, multicore processor, memory controller, interconnect structure, DRAM chip
6Ying Chen, Simon Y. Chen Cost-Driven Hybrid Configuration Prefetching for Partial Reconfigurable Coprocessor. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Konrad Malkowski, Greg M. Link, Padma Raghavan, Mary Jane Irwin Load Miss Prediction - Exploiting Power Performance Trade-offs. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Nicolas Fournel, Antoine Fraboulet, Paul Feautrier eSimu: a Fast and Accurate Energy Consumption Simulator for Real Embedded System. Search on Bibsonomy WOWMOM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Guan Yu, Gauthier Lafruit, Peter Schelkens Platform-scalable Task Partition and Multilevel Buffering in Multi-processor Plessey Corner Detector. Search on Bibsonomy ACSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Reinaldo A. Bergamaschi, Indira Nair, Gero Dittmann, Hiren D. Patel, Geert Janssen, Nagu R. Dhanwada, Alper Buyuktosunoglu, Emrah Acar, Gi-Joon Nam, Dorothy Kucar, Pradip Bose, John A. Darringer, Guoling Han Performance modeling for early analysis of multi-core systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF early analysis, multi-core systems modeling, physical analysis, performance, power analysis, transaction-level modeling
6Srinivasan Murali, Almir Mutapcic, David Atienza, Rajesh Gupta 0001, Stephen P. Boyd, Giovanni De Micheli Temperature-aware processor frequency assignment for MPSoCs using convex optimization. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF temperature-aware, MPSoCs, convex optimization, thermal
6Gilles Sassatelli, Nicolas Saint-Jean, Pascal Benoit, Lionel Torres, Michel Robert, Cristiane R. Woszezenki, Ismael Grehs, Fernando Gehm Moraes Run-time mapping and communication strategies for Homogeneous NoC-Based MPSoCs. Search on Bibsonomy FCCM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Junqing Sun, Gregory D. Peterson, Olaf O. Storaasli Sparse Matrix-Vector Multiplication Design on FPGAs. Search on Bibsonomy FCCM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Ali-Reza Adl-Tabatabai, Anwar M. Ghuloum, Shobhit O. Kanaujia Compression in cache design. Search on Bibsonomy ICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cache compression, prefetching, cache design
6Martin Schoeberl, Jan Vitek Garbage collection for safety critical Java. Search on Bibsonomy JTRES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF real-time system, garbage collection
6Mahdiar Hosein Ghadiry, Mahdieh Nadi Senjani, M. T. Manzuri-Shalmani, Dara Rahmati Effect of number of faults on NoC power and performance. Search on Bibsonomy ICPADS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Dietmar Ebner, Florian Brandner, Andreas Krall Leveraging Predicated Execution for Multimedia Processing. Search on Bibsonomy ESTIMedia The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Massimo Alioto, Massimo Poli, Santina Rocchi, Valerio Vignoli Mixed Techniques to Protect Precharged Busses against Differential Power Analysis Attacks. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Fengguang Song, Shirley Moore, Jack J. Dongarra L2 Cache Modeling for Scientific Applications on Chip Multi-Processors. Search on Bibsonomy ICPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cache performance modeling, architecture, chip multi-processor, multi-threaded programming
6Amit Kumar 0002, Li-Shiuan Peh, Partha Kundu, Niraj K. Jha Express virtual channels: towards the ideal interconnection fabric. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF packet-switching, flow-control, router design
6Jongman Kim, Chrysostomos Nicopoulos, Dongkook Park, Reetuparna Das, Yuan Xie 0001, Narayanan Vijaykrishnan, Mazin S. Yousif, Chita R. Das A novel dimensionally-decomposed router for on-chip communication in 3D architectures. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 3D architecture, 3D integration, network-on-chip (NoC)
6Thomas F. Wenisch, Anastassia Ailamaki, Babak Falsafi, Andreas Moshovos Mechanisms for store-wait-free multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF store buffer design, memory consistency models
6Paolo Costa, Luca Mottola, Amy L. Murphy, Gian Pietro Picco Programming Wireless Sensor Networks with the TeenyLimeMiddleware. Search on Bibsonomy Middleware The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Wireless sensor and actuator networks, middleware, tuple spaces
6Sudarshan Banerjee, Elaheh Bozorgzadeh, Nikil D. Dutt, Juanjo Noguera Selective Band width and Resource Management in Scheduling for Dynamically Reconfigurable Architectures. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Matteo Monchiero, Gianluca Palermo, Cristina Silvano, Oreste Villa Efficient Synchronization for Embedded On-Chip Multiprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Divya Arora, Srivaths Ravi 0001, Anand Raghunathan, Niraj K. Jha Hardware-Assisted Run-Time Monitoring for Secure Program Execution on Embedded Processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Stephen A. Edwards, Olivier Tardieu SHIM: a deterministic model for heterogeneous embedded systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Xinping Zhu, Wei Qin, Sharad Malik Modeling operation and microarchitecture concurrency for communication architectures with application to retargetable simulation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Ilya Ganusov, Martin Burtscher Future execution: A prefetching mechanism that uses multiple cores to speed up single threads. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Future execution, chip multiprocessors, prefetching, memory wall
6Wei Zhang 0002, Yuh-Fang Tsai, David Duarte, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin Reducing dynamic and leakage energy in VLIW architectures. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF dynamic energy, schedule slacks, compiler, VLIW architecture, leakage energy
6Jiang Xu 0001, Wayne H. Wolf, Jörg Henkel, Srimat T. Chakradhar A design methodology for application-specific networks-on-chip. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF regular topology, architecture, methodology, networks-on-chip, Application-specific
6Prabhat Mishra 0001, Aviral Shrivastava, Nikil D. Dutt Architecture description language (ADL)-driven software toolkit generation for architectural exploration of programmable SOCs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF programmable architecture, design space exploration, Architecture description language, embedded processor, retargetable compilation
6Dongkook Park, Chrysostomos Nicopoulos, Jongman Kim, Narayanan Vijaykrishnan, Chita R. Das Exploring Fault-Tolerant Network-on-Chip Architectures. Search on Bibsonomy DSN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6N. Pete Sedcole, Peter Y. K. Cheung, George A. Constantinides, Wayne Luk On-Chip Communication in Run-Time Assembled Reconfigurable Systems. Search on Bibsonomy ICSAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Massimo Alioto, Massimo Poli, Santina Rocchi, Valerio Vignoli Power Modeling of Precharged Address Bus and Application to Multi-bit DPA Attacks to DES Algorithm. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Massimo Alioto, Massimo Poli, Santina Rocchi, Valerio Vignoli Techniques to Enhance the Resistance of Precharged Busses to Differential Power Analysis. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Ali Habibi, Haja Moinudeen, Amer Samarah, Sofiène Tahar Towards a Faster Simulation of SystemC Designs. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Matteo Monchiero, Gianluca Palermo, Cristina Silvano, Oreste Villa Power/performance hardware optimization for synchronization intensive applications in MPSoCs. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Franco Fummi, Davide Quaglia, Fabio Ricciato, Maura Turolla Modeling and simulation of mobile gateways interacting with wireless sensor networks. Search on Bibsonomy DATE Designers' Forum The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Dohyung Kim 0007, Soonhoi Ha, Rajesh Gupta 0001 Parallel co-simulation using virtual synchronization with redundant host execution. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Florin Dumitrascu, Iuliana Bacivarov, Lorenzo Pieralisi, Marius Bonaciu, Ahmed Amine Jerraya Flexible MPSoC platform with fast interconnect exploration for optimal system performance for a specific application. Search on Bibsonomy DATE Designers' Forum The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Andrea Alimonda, Andrea Acquaviva, Salvatore Carta, Alessandro Pisano A control theoretic approach to run-time energy optimization of pipelined processing in MPSoCs. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Martino Ruggiero, Alessio Guerri, Davide Bertozzi, Francesco Poletti, Michela Milano Communication-aware allocation and scheduling framework for stream-oriented multi-processor systems-on-chip. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Partha Biswas, Nikil D. Dutt, Paolo Ienne, Laura Pozzi Automatic identification of application-specific functional units with architecturally visible storage. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Robert G. Dimond, Oskar Mencer, Wayne Luk Automating processor customisation: optimised memory access and resource sharing. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Jared C. Smolens, Brian T. Gold, Babak Falsafi, James C. Hoe Reunion: Complexity-Effective Multicore Redundancy. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Chrysostomos Nicopoulos, Dongkook Park, Jongman Kim, Narayanan Vijaykrishnan, Mazin S. Yousif, Chita R. Das ViChaR: A Dynamic Virtual Channel Regulator for Network-on-Chip Routers. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Yuan Cai, Marcus T. Schmitz, Alireza Ejlali, Bashir M. Al-Hashimi, Sudhakar M. Reddy Cache size selection for performance, energy and reliability of time-constrained systems. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Ikhwan Lee, Hyunsuk Kim, Peng Yang, Sungjoo Yoo, Eui-Young Chung, Kyu-Myung Choi, Jeong-Taek Kong, Soo-Kwan Eo PowerViP: Soc power estimation framework at transaction level. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Haiyan Li, Chunyuan Zhang, Li Li 0005, Ming Pang A Streaming Implementation of Transform and Quantization in H.264. Search on Bibsonomy HPCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Ana Lucia Varbanescu, Henk J. Sips, Arjan J. C. van Gemund PAM-SoC: A Toolchain for Predicting MPSoC Performance. Search on Bibsonomy Euro-Par The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Euripides Sotiriades, Christos Kozanitis, Apostolos Dollas FPGA based architecture for DNA sequence comparison and database search. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Riad Ben Mouhoub, Omar Hammami Multiprocessor on chip: beating the simulation wall through multiobjective design space exploration with direct execution. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Konrad Malkowski, Ingyu Lee, Padma Raghavan, Mary Jane Irwin Conjugate gradient sparse solvers: performance-power characteristics. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Divya Arora, Anand Raghunathan, Srivaths Ravi 0001, Niraj K. Jha Architectural support for safe software execution on embedded processors. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF type safety, memory safety, extensible processors
6Noel Eisley, Vassos Soteriou, Li-Shiuan Peh High-level power analysis for multi-core chips. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF simulation, chip multiprocessor (CMP), multi-core, power analysis, system-on-a-chip (SoC)
6Sayaka Akioka, Konrad Malkowski, Padma Raghavan, Mary Jane Irwin, Lois C. McInnes, Boyana Norris Characterizing the Performance and Energy Attributes of Scientific Simulations. Search on Bibsonomy International Conference on Computational Science (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 537 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license