The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for floorplanning with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1983-1989 (17) 1990-1991 (17) 1992-1995 (26) 1996-1998 (26) 1999 (20) 2000 (30) 2001 (22) 2002 (29) 2003 (38) 2004 (53) 2005 (61) 2006 (68) 2007 (59) 2008 (40) 2009 (33) 2010 (25) 2011 (35) 2012 (18) 2013 (26) 2014 (22) 2015 (18) 2016-2017 (28) 2018-2019 (17) 2020-2021 (17) 2022-2023 (34) 2024 (5)
Publication types (Num. hits)
article(264) incollection(3) inproceedings(512) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 471 occurrences of 218 keywords

Results
Found 784 publication records. Showing 784 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Atul Prakash 0002, Rajesh Kumar Lal Floorplanning for Area Optimization Using Parallel Particle Swarm Optimization and Sequence Pair. Search on Bibsonomy Wirel. Pers. Commun. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17B. Srinivasan, R. Venkatesan 0002 Multi-objective optimization for energy and heat-aware VLSI floorplanning using enhanced firefly optimization. Search on Bibsonomy Soft Comput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Licheng Guo, Yuze Chi, Jie Wang 0022, Jason Lau, Weikang Qiao, Ecenur Ustun, Zhiru Zhang, Jason Cong AutoBridge: Coupling Coarse-Grained Floorplanning and Pipelining for High-Frequency HLS Design on Multi-Die FPGAs. Search on Bibsonomy FPGA The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Ganesh Gore, Xifan Tang, Pierre-Emmanuel Gaillardon A Scalable and Robust Hierarchical Floorplanning to Enable 24-hour Prototyping for 100k-LUT FPGAs. Search on Bibsonomy ISPD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Mert Vatansever, Faik Baskaya A modified relay-race algorithm for floorplanning in PCB and IC design. Search on Bibsonomy Turkish J. Electr. Eng. Comput. Sci. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Zhipeng Huang 0009, Zhifeng Lin, Ziran Zhu, Jianli Chen An Improved Simulated Annealing Algorithm With Excessive Length Penalty for Fixed-Outline Floorplanning. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Lalin L. Laudis, N. Ramadass A Lion's Pride Inspired Algorithm for VLSI Floorplanning. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Song Chen 0001, Jinglei Huang, Xiaodong Xu, Bo Ding, Qi Xu Integrated Optimization of Partitioning, Scheduling, and Floorplanning for Partially Dynamically Reconfigurable Systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Pingakshya Goswami, Dinesh Bhatia Automated Floorplanning for Partially Reconfigurable Designs on Heterogenrous FPGAs. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
17Tai-Chen Chen, Pei-Yu Lee, Tung-Chieh Chen Automatic Floorplanning for AI SoCs. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Tianming Ni, Hao Chang, Shidong Zhu, Lin Lu, Xueyun Li, Qi Xu, Huaguo Liang, Zhengfeng Huang Temperature-Aware Floorplanning for Fixed-Outline 3D ICs. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Junyan Tan, Chunhua Cai An Efficient Partitioning Algorithm Based on Hypergraph for 3D Network-On-Chip Architecture Floorplanning. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Norbert Deak, Octavian Cret, Horia Hedesiu Efficient FPGA Floorplanning for Partial Reconfiguration-Based Applications. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
17Norbert Deak, Octavian Cret, Horia Hedesiu Efficient FPGA Floorplanning for Partial Reconfiguration-Based Applications. Search on Bibsonomy FCCM The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17S. B. Vinay Kumar, P. V. Rao, Manoj Kumar Singh Multi-culture diversity based self adaptive particle swarm optimization for optimal floorplanning. Search on Bibsonomy Multiagent Grid Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Johann Knechtel, Jens Lienig, Ibrahim Abe M. Elfadel Multi-Objective 3D Floorplanning with Integrated Voltage Assignment. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Song Chen 0001, Jinglei Huang, Xiaodong Xu, Qi Xu Integrated Optimization of Partitioning, Scheduling and Floorplanning for Partially Dynamically Reconfigurable Systems. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
17Subrata Das, Debesh Kumar Das Floorplanning in Graphene Nanoribbon (GNR) Based Circuits. Search on Bibsonomy ISVLSI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Sara Vinco, Lorenzo Bottaccioli, Edoardo Patti, Andrea Acquaviva, Enrico Macii, Massimo Poncino GIS-based optimal photovoltaic panel floorplanning for residential installations. Search on Bibsonomy DATE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Jai-Ming Lin, Chien-Yu Huang General floorplanning methodology for 3D ICs with an arbitrary bonding style. Search on Bibsonomy DATE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Jai-Ming Lin, Chien-Yu Huang, Jhih-Ying Yang Co-synthesis of floorplanning and powerplanning in 3D ICs for multiple supply voltage designs. Search on Bibsonomy DATE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17François Galea, Sergiu Carpov, Lilia Zaourar Multi-start simulated annealing for partially-reconfigurable FPGA floorplanning. Search on Bibsonomy IPDPS Workshops The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Chao Geng, Shigetoshi Nakatake Hierarchical Floorplanning Based on Analog Structure Tree. Search on Bibsonomy NGCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Jai-Ming Lin, Tai-Ting Chen, Yen-Fu Chang, Wei-Yi Chang, Ya-Ting Shyu, Yeong-Jar Chang, Juin-Ming Lu A fast thermal-aware fixed-outline floorplanning methodology based on analytical models. Search on Bibsonomy ICCAD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Sara Vinco, Enrico Macii, Massimo Poncino Optimal Topology-Aware PV Panel Floorplanning with Hybrid Orientation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Francisco Eugenio Potestad-Ordóñez, Carlos Jesús Jiménez-Fernández, Carmen Baena Oliva, Pilar Parra Fernández, Manuel Valencia-Barrero Floorplanning as a practical countermeasure against clock fault attack in Trivium stream cipher. Search on Bibsonomy DCIS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Piotr Zajac, Melvin Galicia, Andrzej Napieralski Thermal-aware Floorplanning Guidelines for 3D ICs with Integrated Microchannels. Search on Bibsonomy MIXDES The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17P. Sivaranjani, A. Senthil Kumar Hybrid Particle Swarm Optimization-Firefly algorithm (HPSOFF) for combinatorial optimization of non-slicing VLSI floorplanning. Search on Bibsonomy J. Intell. Fuzzy Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Bernhard Schmidt, Daniel Ziener, Jürgen Teich, Christian Zöllner 0003 Optimizing scrubbing by netlist analysis for FPGA configuration bit classification and floorplanning. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Behnam Khodabandeloo, Ahmad Khonsari, Masoomeh Jasemi, Golnaz Taheri A fast temperature-aware fixed-outline floorplanning framework using convex optimization. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Qi Xu, Song Chen 0001 Fast thermal analysis for fixed-outline 3D floorplanning. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Jianli Chen, Yan Liu, Ziran Zhu, Wenxing Zhu An adaptive hybrid memetic algorithm for thermal-aware non-slicing VLSI floorplanning. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Pengli Ji, Kun He 0001, Yan Jin 0005, Hongsheng Lan, Chumin Li An iterative merging algorithm for soft rectangle packing and its extension for application of fixed-outline floorplanning of soft modules. Search on Bibsonomy Comput. Oper. Res. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Marco Rabozzi, Gianluca Carlo Durelli, Antonio Miele, John Lillis, Marco Domenico Santambrogio Floorplanning Automation for Partial-Reconfigurable FPGAs via Feasible Placements Generation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Marta Ortín-Obón, Mahdi Tala, Luca Ramini, Víctor Viñals Yúfera, Davide Bertozzi Contrasting Laser Power Requirements of Wavelength-Routed Optical NoC Topologies Subject to the Floorplanning, Placement, and Routing Constraints of a 3-D-Stacked System. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Jai-Ming Lin, Jung-An Yang Routability-Driven TSV-Aware Floorplanning Methodology for Fixed-Outline 3-D ICs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Suchandra Banerjee, Anand Ratna, Suchismita Roy Satisfiability Modulo Theory based Methodology for Floorplanning in VLSI Circuits. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
17Bernhard Schmidt, Daniel Ziener, Jürgen Teich, Christian Zöllner 0003 Optimizing Scrubbing by Netlist Analysis for FPGA Configuration Bit Classification and Floorplanning. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
17Marco Rabozzi, Giuseppe Natale, Biagio Festa, Antonio Miele, Marco D. Santambrogio Optimizing streaming stencil time-step designs via FPGA floorplanning. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Xiaodong Xu, Qi Xu, Jinglei Huang, Song Chen 0001 An Integrated Optimization Framework for Partitioning, Scheduling and Floorplanning on Partially Dynamically Reconfigurable FPGAs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Linquan Lyu, Takeshi Yoshimura A force directed partitioning algorithm for 3D floorplanning. Search on Bibsonomy ASICON The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Yanling Zhou, Yunyao Yan, Wei Yan A method to speed up VLSI hierarchical physical design in floorplanning. Search on Bibsonomy ASICON The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Jinyu Wang, Weiguo Wu, Zhaonan Qin, Dongfang Zhao 0005 A Floorplanning Algorithm for Partially Reconfigurable FPGA in Wireless Sensor Network. Search on Bibsonomy SpaCCS Workshops The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17De-Xuan Zou, Gaige Wang, Gai Pan, Hongwei Qin A modified simulated annealing algorithm and an excessive area model for floorplanning using fixed-outline constraints. Search on Bibsonomy Frontiers Inf. Technol. Electron. Eng. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Zhufei Chu, Yinshui Xia, Lun-Yao Wang Multi-supply voltage (MSV) driven SoC floorplanning for fast design convergence. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Zhufei Chu, Yinshui Xia, Lun-Yao Wang, Jian Wang Efficient power pad assignment for multi-voltage SoC and its application in floorplanning. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Piotr Zajac, Melvin Galicia, Cezary Maj, Andrzej Napieralski Impact of floorplanning and thermal vias placement on temperature in 2D and 3D processors. Search on Bibsonomy Microelectron. J. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Jinglei Huang, Song Chen 0001, Wei Zhong, Wenchao Zhang, Shengxi Diao, Fujiang Lin Floorplanning and Topology Synthesis for Application-Specific Network-on-Chips with RF-Interconnect. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Qi Xu, Song Chen 0001, Bin Li 0025 Combining the ant system algorithm and simulated annealing for 3D/2D fixed-outline floorplanning. Search on Bibsonomy Appl. Soft Comput. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Hui Zhu, Cong Hao, Takeshi Yoshimura Thermal-aware floorplanning for NoC-sprinting. Search on Bibsonomy MWSCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Pingakshya Goswami, Dinesh Bhatia Floorplanning of Partially Reconfigurable Design on Heterogeneous FPGA (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Suchandra Banerjee, Anand Ratna, Suchismita Roy Satisfiability modulo theory based methodology for floorplanning in VLSI circuits. Search on Bibsonomy ISED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Wissem Chouchene, Rabie Ben Atitallah, Jean-Luc Dekeyser AFFORDe: Automatic Allocation and Floorplanning for SPMD Architecture. Search on Bibsonomy MCSoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Bapi Kar, Susmita Sur-Kolay, Chittaranjan A. Mandal A Novel EPE Aware Hybrid Global Route Planner after Floorplanning. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Artur Quiring Routing-driven Multiobjective 3D Floorplanning. Search on Bibsonomy 2016   RDF
17Aminollah Mahabadi, Ahmad Khonsari, Behnam Khodabandeloo, Hamid Noori, Alireza Majidi Critical path-aware voltage island partitioning and floorplanning for hard real-time embedded systems. Search on Bibsonomy Integr. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Xiaodao Chen, Lizhe Wang 0001, Albert Y. Zomaya, Lin Liu 0013, Shiyan Hu Cloud Computing for VLSI Floorplanning Considering Peak Temperature Reduction. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Dakun Zhang, Guozhi Song, Kunliang Liu, Yong Ma, Chenglong Zhao, Xu An Wang 0001 Comprehensive Improved Simulated Annealing Optimization for Floorplanning of Heterogeneous 3D Networks-on-Chip. Search on Bibsonomy J. Interconnect. Networks The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17P. Sivaranjani, A. Senthil Kumar Thermal-Aware Non-slicing VLSI Floorplanning Using a Smart Decision-Making PSO-GA Based Hybrid Algorithm. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Andreas Thor Winther, Wei Liu 0016, Alberto Nannarelli, Sarma B. K. Vrudhula Thermal aware floorplanning incorporating temperature dependent wire delay estimation. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Alfredo Cuesta-Infante, J. Manuel Colmenar, Zorana Bankovic, José L. Risco-Martín, Marina Zapater, José Ignacio Hidalgo, José Luis Ayala, José Manuel Moya Comparative study of meta-heuristic 3D floorplanning algorithms. Search on Bibsonomy Neurocomputing The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Chyi-Shiang Hoo, Kanesan Jeevan, Harikrishnan Ramiah Cost reduction in bottom-up hierarchical-based VLSI floorplanning designs. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Artur Quiring, Markus Olbrich, Erich Barke Fast global interconnnect driven 3D floorplanning. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Ying-Jung Chen, Shanq-Jang Ruan A cluster-based reliability- and thermal-aware 3D floorplanning using redundant STSVs. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Davide Pagano, Mikel Vuka, Marco Rabozzi, Riccardo Cattaneo, Donatella Sciuto, Marco D. Santambrogio Thermal-aware floorplanning for partially-reconfigurable FPGA-based systems. Search on Bibsonomy DATE The full citation details ... 2015 DBLP  BibTeX  RDF
17Marco Rabozzi, Riccardo Cattaneo, Tobias Becker, Wayne Luk, Marco D. Santambrogio Relocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Systems. Search on Bibsonomy IPDPS Workshops The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Marco Rabozzi, Antonio Miele, Marco D. Santambrogio Floorplanning for Partially-Reconfigurable FPGAs via Feasible Placements Detection. Search on Bibsonomy FCCM The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Kevin E. Murray, Vaughn Betz HETRIS: Adaptive floorplanning for heterogeneous FPGAs. Search on Bibsonomy FPT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Mohammad A. Ahmed, Sucheta Mohapatra, Malgorzata Chrzanowska-Jeske Dynamic nets-to-TSVs assignment in 3D floorplanning. Search on Bibsonomy ISCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17David Guilherme, João Pereira, Nuno Horta, Jorge Guilherme Thermal-aware floorplanning and layout generation of MOSFET power stages. Search on Bibsonomy ISCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Boris Vaisband, Eby G. Friedman 3-D floorplanning algorithm to minimize thermal interactions. Search on Bibsonomy ISCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Shengcheng Wang, Farshad Firouzi, Fabian Oboril, Mehdi Baradaran Tahoori Deadspace-aware Power/Ground TSV planning in 3D floorplanning. Search on Bibsonomy ICICDT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Jai-Ming Lin, Chih-Yao Hu, Kai-Chung Chan Routability-driven floorplanning algorithm for mixed-size modules with fixed-outline constraint. Search on Bibsonomy VLSI-DAT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Xi Chen, Jiang Hu, Ning Xu 0006 Regularity-constrained floorplanning for multi-core processors. Search on Bibsonomy Integr. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Chyi-Shiang Hoo, Kanesan Jeevan, Harikrishnan Ramiah Enumeration technique in very large-scale integration fixed-outline floorplanning. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Cheoljon Jang, Jaehwan Kim, Jong-Wha Chong Power-aware floorplanning-based power throughsilicon- via technology and bump minimisation for three-dimensional power delivery network. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Lin Wang Fast Algorithms for thermal-Aware floorplanning. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Jai-Ming Lin, Ji-Heng Wu F-FM: Fixed-Outline Floorplanning Methodology for Mixed-Size Modules Considering Voltage-Island Constraint. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Zhufei Chu, Yinshui Xia, Lun-Yao Wang, Jian Wang Efficient nonrectangular shaped voltage island aware floorplanning with nonrandomized searching engine. Search on Bibsonomy Microelectron. J. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Bei Yu 0001, Sheqin Dong, Song Chen 0001, Satoshi Goto Floorplanning and Topology Generation for Application-Specific Network-on-Chip. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
17Kun He 0001, Pengli Ji, Chumin Li An iterative merging placement algorithm for the fixed-outline floorplanning. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
17Bei Yu 0001, Sheqin Dong, Satoshi Goto Multi-Voltage and Level-Shifter Assignment Driven Floorplanning. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
17Bei Yu 0001, Sheqin Dong, Song Chen 0001, Satoshi Goto Voltage and Level-Shifter Assignment Driven Floorplanning. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
17Sung Kyu Lim Research Needs for TSV-Based 3D IC Architectural Floorplanning. Search on Bibsonomy J. Inform. and Commun. Convergence Engineering The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Yang Xu 0019, Bo Wang 0010, Jürgen Teich Parametric yield optimization using leakage-yield-driven floorplanning. Search on Bibsonomy PATMOS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Bernhard Schmidt, Daniel Ziener, Jürgen Teich An automatic netlist and floorplanning approach to improve the MTTR of scrubbing techniques (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Indira Rawat, M. K. Gupta, Virendra Singh Temperature aware test scheduling by modified floorplanning. Search on Bibsonomy EWDTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Mohammad A. Ahmed, Sucheta Mohapatra, Malgorzata Chrzanowska-Jeske 3D floorplanning with nets-to-TSVs assignment. Search on Bibsonomy ICECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Bernhard Schmidt, Daniel Ziener, Jürgen Teich Minimizing Scrubbing Effort through Automatic Netlist Partitioning and Floorplanning. Search on Bibsonomy IPDPS Workshops The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Marco Rabozzi, John Lillis, Marco D. Santambrogio Floorplanning for Partially-Reconfigurable FPGA Systems via Mixed-Integer Linear Programming. Search on Bibsonomy FCCM The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Kan Wang, Sheqin Dong Post-floorplanning power optimization for MSV-driven application specific NoC design. Search on Bibsonomy ISCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Puskar Budhathoki, Andreas Henschel, Ibrahim Abe M. Elfadel Thermal-driven 3D floorplanning using localized TSV placement. Search on Bibsonomy ICICDT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Zhufei Chu, Yinshui Xia, Lun-Yao Wang Level shifter planning for timing constrained multi-voltage SoC floorplanning. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Mohammad A. Ahmed, Malgorzata Chrzanowska-Jeske Delay and power optimization with TSV-aware 3D floorplanning. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Wen-Hao Liu, Min-Sheng Chang, Ting-Chi Wang Floorplanning and Signal Assignment for Silicon Interposer-based 3D ICs. Search on Bibsonomy DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Ignacio Arnaldo, Alfredo Cuesta-Infante, J. Manuel Colmenar, José L. Risco-Martín, José L. Ayala Boosting the 3D thermal-aware floorplanning problem through a master-worker parallel MOEA. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Wenxu Sheng, Sheqin Dong Multi-bend bus-driven floorplanning considering fixed-outline constraints. Search on Bibsonomy Integr. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Po-Hsun Wu, Tsung-Yi Ho Bus-driven floorplanning with thermal consideration. Search on Bibsonomy Integr. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Cha-Ru Li, Wai-Kei Mak, Ting-Chi Wang Fast Fixed-Outline 3-D IC Floorplanning With TSV Co-Placement. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Wei Zhong, Song Chen 0001, Bo Huang, Takeshi Yoshimura, Satoshi Goto Floorplanning and Topology Synthesis for Application-Specific Network-on-Chips. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 784 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license