The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for hSpice with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1994-1996 (15) 1997-1999 (32) 2000 (16) 2001 (22) 2002 (50) 2003 (41) 2004 (35) 2005 (61) 2006 (57) 2007 (25) 2008 (35) 2009 (15) 2010-2020 (12)
Publication types (Num. hits)
article(56) inproceedings(360)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 249 occurrences of 195 keywords

Results
Found 416 publication records. Showing 416 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
10Kuo-Hsing Cheng, Yu-Lung Lo, Wen Fang Yu, Shu-Yin Hung A Mixed-Mode Delay-Locked Loop for Wide-Range Operation and Multiphase Clock Generation. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Yuh-Fang Tsai, David Duarte, Narayanan Vijaykrishnan, Mary Jane Irwin Implications of technology scaling on leakage reduction techniques. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low power, technology scaling, leakage reduction
10Seong-Ook Jung, Ki-Wook Kim, Sung-Mo Kang Noise constrained transistor sizing and power optimization for dual Vst domino logic. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Philippe Maurine, Mustapha Rezzoug, Nadine Azémard, Daniel Auvergne Transition time modeling in deep submicron CMOS. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10José Luis Rosselló, Jaume Segura 0001 Charge-based analytical model for the evaluation of powerconsumption in submicron CMOS buffers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Yungseon Eo, Jongin Shim, William R. Eisenstadt A traveling-wave-based waveform approximation technique for thetiming verification of single transmission lines. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Taku Uchino, Jason Cong An interconnect energy model considering coupling effects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10José Luis Rosselló, Jaume Segura 0001 A Compact Charge-Based Propagation Delay Model for Submicronic CMOS Buffers. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Carmen Baena Oliva, Jorge Juan-Chico, Manuel J. Bellido, Paulino Ruiz-de-Clavijo, Carlos Jesús Jiménez-Fernández, Manuel Valencia 0001 Measurement of the Switching Activity of CMOS Digital Circuits at the Gate Level. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Philippe Maurine, Nadine Azémard, Daniel Auvergne Structure Independent Representation of Output Transition Time for CMOS Library. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Paulino Ruiz-de-Clavijo, Jorge Juan-Chico, Manuel J. Bellido, Alejandro Millán 0001, David Guerrero Martos Efficient and Fast Current Curve Estimation of CMOS Digital Circuits at the Logic Level. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Fabrice Picot, Philippe Coll, Daniel Auvergne Crosstalk Measurement Technique for CMOS ICs. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Gregorio Cappuccino, Giuseppe Cocorullo Operating Region Modelling and Timing Analysis of CMOS Gates Driving Transmission Lines. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Mohamed A. Elgamel, Tarek Darwish, Magdy A. Bayoumi Noise Tolerant Low Power Dynamic TSPCL D Flip-Flops. Search on Bibsonomy ISVLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF noise, power, flip-flop, deep submicron
10Tom J. Kazmierski, Neil Clayton A Two-Tier Distributed Electronic Design Framework. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Paul I. Pénzes, Alain J. Martin An Energy Estimation Method for Asynchronous Circuits with Application to an Asynchronous Microprocessor. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Recep O. Ozdag, Peter A. Beerel High-Speed QDI Asynchronous Pipelines. Search on Bibsonomy ASYNC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF conditional split, conditional join, QDI, pipelines, asynchronous, dynamic logic, joins, non-linear, fine-grain, micropipelines, forks
10Harshit K. Shah, Pun H. Shiu, Brian Bell, Mamie Aldredge, Namarata Sopory, Jeff Davis Repeater insertion and wire sizing optimization for throughput-centric VLSI global interconnects. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Motoi Inaba, Koichi Tanno, Okihiko Ishizuka Multi-Valued Flip-Flop with Neuron-CMOS NMIN Circuits. Search on Bibsonomy ISMVL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Multi-valued flip-flop, Down literal circuit, Analog inverter, Voltage comparator, NMIN circuit
10Yongjian Brandon Guo, K. Wayne Current Voltage Comparator Circuits for Multiple-Valued CMOS Logic. Search on Bibsonomy ISMVL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF voltage comparator, MVL, low-power, CMOS
10Joohee Kim, Conrad H. Ziesler, Marios C. Papaefthymiou Energy recovering static memory. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF adiabatic circuitry, charge recovery, cache memories, on-chip memories, low-power computing, low-energy design
10Arif Ishaq Abou-Seido, Brian Nowak, Chris C. N. Chu Fitted Elmore Delay: A Simple and Accurate Interconnect Delay Model. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Yen-Jen Chang, Feipei Lai, Shanq-Jang Ruan Cache Design for Eliminating the Address Translation Bottleneck and Reducing the Tag Area Cost. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10João Silva, Nuno Cavaco Gomes Horta GENOM: circuit-level optimizer based on a modified genetic algorithm kernel. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10J. A. López, Ginés Doménech, R. Ruiz, Tom J. Kazmierski Automated high level synthesis of hardware building blocks present in ART-based neural networks, from VHDL-AMS descriptions. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Ayman A. Fayed, Magdy A. Bayoumi Noise-tolerant design and analysis for a low-voltage dynamic full adder cell. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Sangwook Kim, E. Greeneich Body effect compensated switch for low voltage switched-capacitor circuits. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Fazrena A. Hamid, Tom J. Kazmierski Synthesis and optimization of analog VLSI filters from VHDL-AMS parse trees. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10K. Moolpho, Jitkasem Ngarmnil, K. Nandhasri A low-voltage wide-swing FGMOS current amplifier. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Takahide Sato, Shigetaka Takagi, Nobuo Fujii Rail-to-rail OTA using a pair of single channel type MOSFETs. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Takao Oura, Teru Yoneyama, Shashidhar Tantry, Hideki Asai A threshold voltage independent floating resistor circuit exhibiting both positive and negative resistance values. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10G. R. Chaji, Seid Mehdi Fakhraie, Kenneth Carless Smith Pseudo dynamic logic (SDL): a high-speed and low-power dynamic logic family. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Li Ding 0002, Pinaki Mazumder, David T. Blaauw Crosstalk noise estimation using effective coupling capacitance. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10G. A. Al-Rawi A new offset measurement and cancellation technique for dynamic latches. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Bill Pontikakis, Mohamed Nekili A novel double edge-triggered pulse-clocked TSPC D flip-flop for high-performance and low-power VLSI design applications. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Ramin Rafati, A. Z. Charaki, G. R. Chaji, Seid Mehdi Fakhraie, Kenneth Carless Smith Comparison of a 17 b multiplier in Dual-rail domino and in Dual-rail D3L (D4L) logic styles. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Lyes Bouzerara, Mohand-Tahar Belaroussi Low-voltage, low-power and high gain CMOS operational transconductance amplifier. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Toshihiko Yamasaki, Teruyasu Taguchi, Tadashi Shibata Low-power CDMA analog matched filters based on floating-gate technology. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Falah R. Awwad, Mohamed Nekili Variable-segment & variable-driver parallel regeneration techniques for RLC VLSI interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF parallel regeneration, VLSI, repeater, RLC interconnect
10Bipul Chandra Paul, Kaushik Roy 0001 Testing CrossTalk Induced Delay Faults in Static CMOS Circuits Through Dynamic Timing Analysis. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Yen-Jen Chang, Feipei Lai Paged cache: an efficient partition architecture for reducing power, area and access time. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10S. Swaminathan, Shankar M. Krishnan, Khiang Wee Lim, Zubir Ahamed, Gilbert Chiang Microsensor characterization in an integrated blood gas measurement system. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Apinunt Thanachayanont 2-V 3.36-mW 2.5-GHz fourth-order inductorless CMOS RF bandpass filter. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Shashidhar Tantry, Takao Oura, Teru Yoneyama, Hideki Asai A low voltage floating resistor having positive and negative resistance values. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Y. C. Hung, B. D. Liu An analog CMOS rank-order extractor with O(N) complexity using maximum/winner-take-all circuit. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Chung-Yun Chou, Chung-Yu Wu The design of a new wideband and low-power CMOS active polyphase filter for low-IF receiver applications. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Raguraman Venkatesan, Jeffrey A. Davis, James D. Meindl A physical model for the transient response of capacitively loaded distributed rlc interconnects. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF distributed rlc lines, overshoot, interconnects, crosstalk, time delay, repeaters, transient response
10Srinivas Bodapati, Farid N. Najm High-level current macro-model for power-grid analysis. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF current macro-model, DCT, power grid
10Ashok K. Murugavel, N. Ranganathan Petri net modeling of gate and interconnect delays for power estimation. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Hiroaki Yamaoka, Makoto Ikeda, Kunihiro Asada A high-speed PLA using array logic circuits with latch sense amplifiers and a charge sharing scheme. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Jason Cong, David Zhigang Pan, Prasanna V. Srinivas Improved crosstalk modeling for noise constrained interconnect optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Amorn Jiraseree-amornkun, Boonruk Chipipop, Wanlop Surakampontorn Novel translinear-based multi-output FTFN. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Yonghui Tang, Randall L. Geiger A 2.5 Gbit/s CMOS PLL for data/clock recovery without frequency divider. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Manuel J. Bellido, Jorge Juan-Chico, Paulino Ruiz-de-Clavijo, Antonio J. Acosta 0001, Manuel Valencia-Barrero Gate-level simulation of CMOS circuits using the IDDM model. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Eduard Alarcón, Alberto Poveda, Eva Vidal, Herminio Martínez Analog current-mode implementation of a one-cycle integrated controller for switching power converters. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Emmanuel M. Drakakis, Alison J. Payne, Christofer Toumazou, Andrew E. J. Ng, John I. Sewell High-order lowpass and bandpass elliptic log-domain ladder filters. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Chun Lu, Bingxue Shi, Lu Chen A programmable on-chip BP learning neural network with enhanced neuron characteristics. Search on Bibsonomy ISCAS (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Jin-Ku Kang, Dong-Hee Kim A CMOS clock and data recovery with two-XOR phase-frequency detector circuit. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Teemu Suutari, Jouni Isoaho, Hannu Tenhunen High-speed serial communication with error correction using 0.25 um CMOS technology. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Ayman A. Fayed, Magdy A. Bayoumi A low power 10-transistor full adder cell for embedded architectures. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Philippe Maurine, Mustapha Rezzoug, Daniel Auvergne Output transition time modeling of CMOS structures. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Imed Ben Dhaou, Hannu Tenhunen, Vijay Sundararajan, Keshab K. Parhi Energy efficient signaling in DSM CMOS technology. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Jin-Jer Jong, Chen-Yi Lee A novel structure for portable digitally controlled oscillator. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Apinunt Thanachayanont A 1.5-V CMOS fully differential inductorless RF bandpass amplifier. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Geng Bai, Sudhakar Bobba, Ibrahim N. Hajj RC Power Bus Maximum Voltage Drop in Digital VLSI Circuits. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Xiaoliang Bai, Sujit Dey High-level Crosstalk Defect Simulation for System-on-Chip Interconnects. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF System-on-Chip, Crosstalk, Interconnect test, Defect simulation, High level
10Yue-Tsang Chen, Chauchin Su Test Waveform Shaping in Mixed Signal Test Bus by Pre-Equalization. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Allen E. Sjogren, Chris J. Myers Interfacing synchronous and asynchronous modules within a high-speed pipeline. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Jorge Juan-Chico, Manuel J. Bellido, Paulino Ruiz-de-Clavijo, Antonio J. Acosta 0001, Manuel Valencia-Barrero Degradation Delay Model Extension to CMOS Gates. Search on Bibsonomy PATMOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Mustapha Rezzoug, Philippe Maurine, Daniel Auvergne Second Generation Delay Model for Submicron CMOS Process. Search on Bibsonomy PATMOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Fabrizio Viglione, Guido Masera, Gianluca Piccinini, Massimo Ruo Roch, Maurizio Zamboni A 50 Mbit/s Iterative Turbo-Decoder. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Luca Benini, Giuliano Castelli, Alberto Macii, Enrico Macii, Massimo Poncino, Riccardo Scarsi A Discrete-Time Battery Model for High-Level Power Estimation. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Montek Singh, Steven M. Nowick High-Throughput Asynchronous Pipelines for Fine-Grain Dynamic Datapaths. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fine-grain pipelining, VLSI, pipelines, asynchronous, dynamic logic, FIFO, high-throughput, digital design
10Makoto Syuto, Jing Shen, Koichi Tanno, Okihiko Ishizuka Multi-Input Variable-Threshold Circuits for Multi-Valued Logic Functions. Search on Bibsonomy ISMVL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Takahiro Hanyu, Hiromitsu Kimura, Michitaka Kameyama DRAM-Cell-Based Multiple-Valued Logic-in-Memory VLSI with Charge Addition and Charge Storage. Search on Bibsonomy ISMVL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF logic-in-memory, communication bottleneck, threshold operation, functional pass gate, DRAM, multiple-valued logic
10Kyeounsoo Kim, Peter A. Beerel, Youpyo Hong An asynchronous matrix-vector multiplier for discrete cosine transform. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF asynchronous matrix-vector multiplier, discrete cosine transform
10Hak-soo Yu, Songjun Lee, Jacob A. Abraham An Adder Using Charge Sharing and its Application in DRAMs. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Bibhudatta Sahoo 0002, Martin Kuhlmann, Keshab K. Parhi A low-power correlator. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Geng Bai, Sudhakar Bobba, Ibrahim N. Hajj Power Bus Maximum Voltage Drop in Digital VLSI Circuits. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Chun Lu, Bingxue Shi Circuit Realization of a Programmable Neuron Transfer Function and Its Derivative. Search on Bibsonomy IJCNN (4) The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Artificial Neural Networks (ANN), CMOS analogue integrated circuits
10Liqiong Wei, Zhanping Chen, Kaushik Roy 0001, Mark C. Johnson, Yibin Ye, Vivek De Design and optimization of dual-threshold circuits for low-voltage low-power applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Teresa Serrano-Gotarredona, Ángel Rodríguez-Vázquez On the Design of Second Order Dynamics Reaction-Diffusion CNNs. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Jung Hyun Choi, Sergio Bampi OTA Amplifiers Design on Digital Sea-of-Transistors Array. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Per Arne Karlsen, Per Torstein Røine A Timing Verifier and Timing Profiler for Asynchronous Circuits. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Rung-Bin Lin, Jinq-Chang Chen Low Power CMOS Off-Chip Drivers with Slew-rate Difference. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Jack L. Chan, Steve S. Chung Universal Switched-Current Integrator Blocks for SI Filter Design. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Jason Cong, David Zhigang Pan Interconnect Delay Estimation Models for Synthesis and Design Planning. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Jing Shen, Koichi Tanno, Okihiko Ishizuka Down Literal Circuit with Neuron-MOS Transistors and Its Applications. Search on Bibsonomy ISMVL The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Maitham Shams, Mohamed I. Elmasry Delay Optimization of CMOS Logic Circuits Using Closed-Form Expressions. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Qi Wang, Sarma B. K. Vrudhula An Investigation of Power Delay Tradeoffs for Dual Vt CMOS Circuits. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF low power, CMOS circuits, dual Vt
10Yi-Chang, Edwin W. Greeneich A current-controlled oscillator coarse-steering acquisition-aid for high frequency SOI CMOS PLL circuits. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Kaushik Roy 0001, Liqiong Wei, Zhanping Chen Multiple-Vdd multiple-Vth CMOS (MVCMOS) for low power applications. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Adnan Kabbani, A. J. Al-Khalili Estimation of ground bounce effects on CMOS circuits. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Jesper Steensgaard Bootstrapped low-voltage analog switches. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Kei-Yong Khoo, Zhan Yu, Alan N. Willson Jr. Improved-Booth encoding for low-power multipliers. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Adnan Kabbani, A. J. Al-Khalili Dynamic CMOS noise immunity estimation in submicron regime. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Julius Georgiou, Emmanuel M. Drakakis, Christofer Toumazou, P. Premanoj An analogue micropower log-domain silicon circuit for the Hodgkin and Huxley nerve axon. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Andrew B. Kahng, Sudhakar Muddu Improved Effective Capacitance Computations for Use in Logic and Layout Optimization. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10S. Turgis, Daniel Auvergne A novel macromodel for power estimation in CMOS structures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Payam Heydari, Massoud Pedram Calculation of ramp response of lossy transmission lines using two-port network functions. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 416 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license