The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for instructions with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1963 (19) 1964-1968 (15) 1969-1974 (16) 1975-1978 (20) 1979-1981 (19) 1982-1984 (23) 1985-1987 (47) 1988 (35) 1989 (32) 1990 (46) 1991 (16) 1992 (36) 1993 (34) 1994 (48) 1995 (56) 1996 (71) 1997 (92) 1998 (82) 1999 (158) 2000 (181) 2001 (150) 2002 (223) 2003 (309) 2004 (334) 2005 (380) 2006 (410) 2007 (374) 2008 (347) 2009 (246) 2010 (87) 2011 (47) 2012 (54) 2013 (53) 2014 (56) 2015 (44) 2016 (70) 2017 (76) 2018 (110) 2019 (111) 2020 (118) 2021 (113) 2022 (138) 2023 (209) 2024 (53)
Publication types (Num. hits)
article(1234) data(1) incollection(7) inproceedings(3889) phdthesis(27)
Venues (Conferences, Journals, ...)
CoRR(291) MICRO(137) ISCA(128) IEEE Trans. Computers(75) DATE(70) HPCA(66) IPDPS(63) ICS(62) ASPLOS(59) IEEE PACT(58) ICCD(53) PLDI(53) Euro-Par(52) CASES(46) CGO(43) ASAP(42) More (+10 of total 1372)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4224 occurrences of 1958 keywords

Results
Found 5158 publication records. Showing 5158 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Hans Vandierendonck, Philippe Manet, Thibault Delavallee, Igor Loiselle, Jean-Didier Legat By-passing the out-of-order execution pipeline to increase energy-efficiency. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF instruction wake-up, energy-efficiency, instruction scheduling, out-of-order execution
19Gongqiong Li, Zhaolin Li Optimized design of a double-precision floating-point multiply-add-dused unit for data dependence. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Andhi Janapsatya, Aleksandar Ignjatovic, Sri Parameswaran Exploiting statistical information for implementation of instruction scratchpad memory in embedded system. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Xianfeng Li, Abhik Roychoudhury, Tulika Mitra Modeling out-of-order processors for WCET analysis. Search on Bibsonomy Real Time Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Worst-case execution time (WCET) analysis, Out-of-order superscalar processor, Branch prediction, Instruction cache
19Asadollah Shahbahrami, Ben H. H. Juurlink, Demid Borodin, Stamatis Vassiliadis Avoiding Conversion and Rearrangement Overhead in SIMD Architectures. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Embedded media processors, multimedia kernels, register file, subword parallelism
19Juan L. Aragón, José M. González, Antonio González 0001 Control Speculation for Energy-Efficient Next-Generation Superscalar Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low-power design, processor architecture, energy-aware systems, Control speculation
19Jin Lin, Wei-Chung Hsu, Pen-Chung Yew, Roy Dz-Ching Ju, Tin-Fook Ngai Recovery code generation for general speculative optimizations. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Recovery code, multi-level data speculation, speculative SSA form
19Olivier Rochecouste, Gilles Pokam, André Seznec A case for a complexity-effective, width-partitioned microarchitecture. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Power analysis
19Xiaotong Zhuang, Santosh Pande Parallelizing load/stores on dual-bank memory embedded processors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF memory bank allocation, parallel load/stores, profile driven optimization, DSP architectures
19Wu-An Kuo, TingTing Hwang, Allen C.-H. Wu Decomposition of instruction decoders for low-power designs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF instruction decoder, Low power
19Chengmo Yang, Alex Orailoglu Power-efficient instruction delivery through trace reuse. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF adaptive processor, low-power design, instruction delivery
19Robert G. Dimond, Oskar Mencer, Wayne Luk Automating processor customisation: optimised memory access and resource sharing. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Hyesoon Kim, José A. Joao, Onur Mutlu, Yale N. Patt Diverge-Merge Processor (DMP): Dynamic Predicated Execution of Complex Control-Flow Graphs Based on Frequently Executed Paths. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Christopher Lupo, Kent D. Wilken Post Register Allocation Spill Code Optimization. Search on Bibsonomy CGO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Sumeet Kumar, Aneesh Aggarwal Reducing resource redundancy for concurrent error detection techniques in high performance microprocessors. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Gang Ren 0002, Peng Wu 0001, David A. Padua Optimizing data permutations for SIMD devices. Search on Bibsonomy PLDI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF SIMD compilation, data permutation, optimization
19Hui Wu 0001, Joxan Jaffar, Jingling Xue Instruction Scheduling with Release Times and Deadlines on ILP Processors. Search on Bibsonomy RTCSA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Jack Whitham, Neil C. Audsley MCGREP - A Predictable Architecture for Embedded Real-Time Systems. Search on Bibsonomy RTSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Tanausú Ramírez, Alex Pajuelo, Oliverio J. Santana, Mateo Valero Kilo-instruction processors, runahead and prefetching. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF runahead, prefetching, speculative execution, memory wall, Kilo-instruction processors
19H. C. Wang, C. K. Yuen A general framework to build new CPUs by mapping abstract machine code to instruction level parallel execution hardware. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Nicholas Andrew Bradley, Mark D. Dunlop An Experimental Investigation into Wayfinding Directions for Visually Impaired People. Search on Bibsonomy Pers. Ubiquitous Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Resit Sendag, Ying Chen, David J. Lilja The Impact of Incorrectly Speculated Memory Operations in a Multithreaded Architecture. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF mispredicted loads, wrong execution, wrong execution cache, prefetching, Speculation, multithreaded architecture
19Changpeng Fang, Steve Carr 0001, Soner Önder, Zhenlin Wang Instruction Based Memory Distance Analysis and its Application. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Dinesh C. Suresh, Walid A. Najjar, Jun Yang 0002 Power Efficient Instruction Caches for Embedded Systems. Search on Bibsonomy SAMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Newton Cheung, Sri Parameswaran, Jörg Henkel Battery-aware instruction generation for embedded processors. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Alex Pajuelo, Antonio González 0001, Mateo Valero Control-Flow Independence Reuse via Dynamic Vectorization. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Madhavi Gopal Valluri, Lizy Kurian John, Kathryn S. McKinley Low-power, low-complexity instruction issue using compiler assistance. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Peng Zhou, Soner Önder, Steve Carr 0001 Fast branch misprediction recovery in out-of-order superscalar processors. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF processor state, checkpoint, recovery, branch misprediction
19Fei Sun, Srivaths Ravi 0001, Anand Raghunathan, Niraj K. Jha Synthesis of Application-Specific Heterogeneous Multiprocessor Architectures Using Extensible Processors. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Adrián Cristal, Oliverio J. Santana, Mateo Valero, José F. Martínez Toward kilo-instruction processors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multicheckpointing, instruction-level parallelism, Memory wall, kilo-instruction processors
19Jin Lin, Wei-Chung Hsu, Pen-Chung Yew, Roy Dz-Ching Ju, Tin-Fook Ngai A Compiler Framework for Recovery Code Generation in General Speculative Optimizations. Search on Bibsonomy IEEE PACT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Vlad-Mihai Panait, Amit Sasturkar, Weng-Fai Wong Static Identification of Delinquent Loads. Search on Bibsonomy CGO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Saeed Shamshiri, Hadi Esmaeilzadeh, Zainalabedin Navabi Test Instruction Set (TIS) for High Level Self-Testing of CPU Cores. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Alain Colmerauer On the Complexity of Universal Programs. Search on Bibsonomy MCU The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Gordon B. Bell, Mikko H. Lipasti Deconstructing commit. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Julie Heiser, Barbara Tversky Characterizing Diagrams Produced by Individuals and Dyads. Search on Bibsonomy Spatial Cognition The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Nikil Mehta, Brian Singer, R. Iris Bahar, Michael Leuchtenburg, Richard S. Weiss Fetch Halting on Critical Load Misses. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Yunheung Paek, Junsik Choi, Jinoo Joung, Junseo Lee, Seonwook Kim Exploiting Parallelism in Memory Operations for Code Optimization. Search on Bibsonomy LCPC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Johann Großschädl, Erkay Savas Instruction Set Extensions for Fast Arithmetic in Finite Fields GF( p) and GF(2m). Search on Bibsonomy CHES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Steven Swanson, Luke K. McDowell, Michael M. Swift, Susan J. Eggers, Henry M. Levy An evaluation of speculative instruction execution on simultaneous multithreaded processors. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multiprocessors, multithreading, Instruction-level parallelism, speculation, thread-level parallelism, simultaneous multithreading
19Norman Ramsey, Cristina Cifuentes A transformational approach to binary translation of delayed branches. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF program transformation, program analysis, Binary translation
19Newton Cheung, Jörg Henkel, Sri Parameswaran Rapid Configuration and Instruction Selection for an ASIP: A Case Study. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Daniel Citron, Dror G. Feitelson "Look It Up" or "Do the Math": An Energy, Area, and Timing Analysis of Instruction Reuse and Memoization. Search on Bibsonomy PACS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Ying Chen, Resit Sendag, David J. Lilja Using Incorrect Speculation to Prefetch Data in a Concurrent Multithreaded Processor. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF superthreaded architecture, wrong-thread executioin, wrong-path execution, wrong execution cache (WEC), data prefetch, multithreaded processor
19Bengu Li, Rajiv Gupta 0001 Simple offset assignment in presence of subword data. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF SWOA, subword data, SOA, storage assignment
19Prasad Jayanti, Srdjan Petrovic Efficient and practical constructions of LL/SC variables. Search on Bibsonomy PODC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Zhijie Shi, Xiao Yang 0001, Ruby B. Lee Arbitrary Bit Permutations in One or Two Cycles. Search on Bibsonomy ASAP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Martin Burtscher, Benjamin G. Zorn Hybrid Load-Value Predictors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF load-value predictor, performance metrics, Value prediction, value locality, hybrid predictor
19Xiaotong Zhuang, Santosh Pande, John S. Greenland Jr. A Framework for Parallelizing Load/Stores on Embedded Processors. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Eric Tune, Dean M. Tullsen, Brad Calder Quantifying Instruction Criticality. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Mohamed M. Zahran, Manoj Franklin Return-Address Prediction in Speculative Multithreaded Environments. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Dmitry Cheresiz, Ben H. H. Juurlink, Stamatis Vassiliadis, Harry A. G. Wijshoff Performance Scalability of Multimedia Instruction Set Extensions. Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Joshua J. Yi, Resit Sendag, David J. Lilja Increasing Instruction-Level Parallelism with Instruction Precomputation (Research Note). Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Youfeng Wu Efficient Discovery of Regular Stride Patterns in Irregular Programs. Search on Bibsonomy PLDI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF integrated stride and frequency profiling, phased multi-strided loads, strongly single-strided loads, performance evaluation, data prefetching
19Juan L. Aragón, José González 0002, Antonio González 0001, James E. Smith 0001 Dual path instruction processing. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF branch misprediction penalty, dual path processing, pre-scheduling, confidence estimation
19Aart J. C. Bik, Milind Girkar, Paul M. Grey, Xinmin Tian Automatic Detection of Saturation and Clipping Idioms. Search on Bibsonomy LCPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Dan Ernst, Todd M. Austin Efficient Dynamic Scheduling Through Tag Elimination. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF complexity-effective architecture, last-tag prediction, dynamic scheduling, low-power architecture
19Alvin R. Lebeck, Tong Li 0003, Eric Rotenberg, Jinson Koppanalil, Jaidev P. Patwardhan A Large, Fast Instruction Window for Tolerating Cache Misses. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Cache Memory, Memory Latency, Latency Tolerance, Instruction Window
19Nestor Rychtyckyj An Assessment of Machine Translation for Vehicle Assembly Process Planning at Ford Motor Company. Search on Bibsonomy AMTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Rajeev Balasubramonian, Sandhya Dwarkadas, David H. Albonesi Reducing the complexity of the register file in dynamic superscalar processors. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Soner Önder, Rajiv Gupta 0001 Instruction Wake-Up in Wide Issue Superscalars. Search on Bibsonomy Euro-Par The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Uma Mahadevan, Kevin Nomura, Roy Dz-Ching Ju, Rick Hank Applying Data Speculation in Modulo Scheduled Loops. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Junichi Hirase, Shinichi Yoshimura Faster processing for microprocessor functional ATPG. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF microprocessor functional ATPG, fault coverage improvement, short test pattern, processing speed increase, logic testing, integrated circuit testing, automatic test pattern generation, identification, test pattern generation, functional testing, microprocessor chips, instruction sets, instruction sets, microprocessor tests
19Michaël F. Steehouder, Joyce Karreman, Nicole Ummelen Making sense of step-by-step procedures. Search on Bibsonomy SIGDOC The full citation details ... 2000 DBLP  BibTeX  RDF
19Jun Yang 0002, Rajiv Gupta 0001 Load Redundancy Removal through Instruction Reuse. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Soner Önder, Jun Xu, Rajiv Gupta 0001 Caching and Predicting Branch Sequences for Improved Fetch Effectiveness. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF branch sequence prediction, sequence table, fetch bandwidth, speculative execution
19Lea Hwang Lee, Jeff Scott, Bill Moyer, John Arends Low-Cost Branch Folding for Embedded Applications with Small Tight Loops. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Li-San Li, Huang-Zhen Chun Lookahead Cache with Instruction Processing Unit for Filling Memory Gap. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Memory Gap, Lookahead Cache, Instruction Processing Unit
19Brad Calder, Glenn Reinman, Dean M. Tullsen Selective Value Prediction. Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19S. Subramanya Sastry, Subbarao Palacharla, James E. Smith 0001 Exploiting Idle Floating-Point Resources for Integer Execution. Search on Bibsonomy PLDI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Sanjay J. Patel, Marius Evers, Yale N. Patt Improving Trace Cache Effectiveness with Branch Promotion and Trace Packing. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Keith D. Cooper, Timothy J. Harvey Compiler-Controlled Memory. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Chao-ying Fu, Matthew D. Jennings, Sergei Y. Larin, Thomas M. Conte Value Speculation Scheduling for High Performance Processors. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF VLIW instruction schedulings, instruction level parallelism, value prediction, value speculation
19Corinna G. Lee, Derek J. DeVries Initial Results on the Performance and Cost of Vector Microprocessors. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Steven Wallace, Nader Bagherzadeh Multiple Branch and Block Prediction. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Subbarao Palacharla, Norman P. Jouppi, James E. Smith 0001 Complexity-Effective Superscalar Processors. Search on Bibsonomy ISCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Scott A. Mahlke, Balas K. Natarajan Compiler Synthesized Dynamic Branch Prediction. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF profile information, pipelined processor, compiler analysis, dynamic branch prediction, branch instruction
19Yiannakis Sazeides, Stamatis Vassiliadis, James E. Smith 0001 The Performance Potential of Data Dependence Speculation & Collapsing. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF address generation-load dependences, address prediction rate, base instruction level parallel machine, dependence collapsing, performance potential, true data dependences, parallel programming, trace-driven simulation, data dependence speculation, address prediction
19Ulrich Sigmund, Theo Ungerer Identifying Bottlenecks in a Multithreaded Superscalar Microprocessor. Search on Bibsonomy Euro-Par, Vol. II The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
19Bonnie L. Webber Instructing Animated Agents: Viewing Language in Behavioral Terms. Search on Bibsonomy Multimodal Human-Computer Communication The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
19Hideki Ando, Chikako Nakanishi, Tetsuya Hara, Masao Nakaya Unconstrained Speculative Execution with Predicated State Buffering. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF MIPS R3000
19Gary S. Tyson The effects of predicated execution on branch prediction. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF HP-RISC, Pentium, high-performance, ATOM, branch prediction, predication, PowerPC, Alpha
19Mauricio J. Serrano, Wayne Yamamoto, Roger C. Wood, Mario Nemirovsky A Model for Performance Estimation in a Multistreamed Superscalar Processor. Search on Bibsonomy Computer Performance Evaluation The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Andreas Krall, Thomas Berger Fast Prolog with VAM1p based Prolog Compiler. Search on Bibsonomy PLILP The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
19M. Chastain, G. Gostin, James E. Mankovich, Steven J. Wallach The convex C240 architecture. Search on Bibsonomy SC The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
19Jim Gray 0001 A View of Database System Performance Measures. Search on Bibsonomy SIGMETRICS The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
19Vishv M. Malhotra, Sanjeev Kumar Automatic Retargetable Code Generation: A New Technique. Search on Bibsonomy FSTTCS The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
19Robert R. Kessler Peep: an architectural description driven peephole optimizer. Search on Bibsonomy SIGPLAN Symposium on Compiler Construction The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
19Jack W. Davidson, Christopher W. Fraser The Design and Application of a Retargetable Peephole Optimizer. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
18Zhanpeng Jin, Richard Neil Pittman, Alessandro Forin Reconfigurable custom floating-point instructions (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF emips, reconfigurable, extension, floating-point, partial reconfiguration
18Garo Bournoutian, Alex Orailoglu Reducing impact of cache miss stalls in embedded systems by extracting guaranteed independent instructions. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF compiler assisted hardware, pipeline stalls, embedded processors, data cache
18Kevin J. M. Martin, Christophe Wolinski, Krzysztof Kuchcinski, Antoine Floch, François Charot Constraint-Driven Instructions Selection and Application Scheduling in the DURASE system. Search on Bibsonomy ASAP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Yohei Kurata, Hui Shi 0001 Interpreting Motion Expressions in Route Instructions Using Two Projection-Based Spatial Models. Search on Bibsonomy KI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Lars Bauer, Muhammad Shafique 0001, Jörg Henkel A computation- and communication- infrastructure for modular special instructions in a dynamically reconfigurable processor. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Paolo Bonzini, Giovanni Ansaloni, Laura Pozzi Compiling custom instructions onto expression-grained reconfigurable architectures. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF horizontal microprogramming, compilers, instruction set extensions, coarse-grained reconfigurable architectures, data-flow architectures
18Shijian Zhang, Weiwu Hu Fetching Primary and Redundant Instructions in Turn for a Fault-Tolerant Embedded Microprocessor. Search on Bibsonomy PRDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Todd T. Hahn, Eric Stotzer, Dineel Sule, Mike Asal Compilation Strategies for Reducing Code Size on a VLIW Processor with Variable Length Instructions. Search on Bibsonomy HiPEAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Xiaoyong Chen, Douglas L. Maskell, Yang Sun Fast Identification of Custom Instructions for Extensible Processors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Hamid Noori, Farhad Mehdipour, Kazuaki J. Murakami, Koji Inoue, Maziar Goudarzi Interactive presentation: Generating and executing multi-exit custom instructions for an adaptive extensible processor. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Anne Dickinson Is the shortcut the quickest way to go?: translating instructions for keyboard navigation and other stories. Search on Bibsonomy ITiCSE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF keyboard shortcuts, university student, e-learning, information technology, disabilities, online learning, stories, braille
Displaying result #301 - #400 of 5158 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license