The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for microprocessors with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1975 (24) 1976 (15) 1977 (44) 1978 (21) 1979 (18) 1980 (29) 1981 (15) 1982 (23) 1983 (24) 1984 (23) 1985 (27) 1986-1987 (20) 1988-1989 (28) 1990 (17) 1991-1992 (23) 1993-1994 (38) 1995 (46) 1996 (44) 1997 (55) 1998 (63) 1999 (84) 2000 (99) 2001 (77) 2002 (125) 2003 (123) 2004 (156) 2005 (169) 2006 (174) 2007 (157) 2008 (144) 2009 (77) 2010 (47) 2011 (37) 2012 (32) 2013 (32) 2014 (24) 2015 (23) 2016 (22) 2017 (23) 2018 (16) 2019 (17) 2020 (20) 2021 (22) 2022-2023 (23) 2024 (10)
Publication types (Num. hits)
article(666) book(5) incollection(7) inproceedings(1598) phdthesis(53) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1754 occurrences of 998 keywords

Results
Found 2330 publication records. Showing 2330 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
14G. Glenn Henry From Mainframes to Microprocessors. Search on Bibsonomy IEEE Micro The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Karthik Swaminathan, Augusto Vega Hardware Specialization: From Cell to Heterogeneous Microprocessors Everywhere. Search on Bibsonomy IEEE Micro The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Princewill Akpojotor, Adebayo Olusola Adetunmbi, Boniface K. Alese, Ayodeji Oluwatope Automatic license plate recognition on microprocessors and custom computing platforms: A review. Search on Bibsonomy IET Image Process. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Ji Heon Lee, Young Seo Lee, Jeong Hwan Choi, Hussam Amrouch, Joonho Kong, Young-Ho Gong, Sung Woo Chung Characterizing the Thermal Feasibility of Monolithic 3D Microprocessors. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Hai Wang 0002, Wei Li 0216, Wenjie Qi, Diya Tang, Letian Huang, He Tang Runtime Performance Optimization of 3-D Microprocessors in Dark Silicon. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Abbas A. Fairouz, Monther Abusultan, Viacheslav V. Fedorov, Sunil P. Khatri Hardware Acceleration of Hash Operations in Modern Microprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Zeesha Mishra, Pallab Kumar Nath, Bibhudendra Acharya Corrigendum to High throughput unified architecture of LEA algorithm for image encryption' Microprocessors and Microsystems Vol 78 (2020) 1-10 Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14K. Tamilselvan, P. Thangaraj Corrigendum to "Pods - A novel intelligent energy efficient and dynamic frequency scalings for multi-core embedded architectures in an IoT environment" [Microprocessors and Microsystems Volume 72, February 2020, 102907]. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Abhishek Singh, Shail Dave, Pantea Zardoshti, Robert Brotzman, Chao Zhang 0039, Xiaochen Guo, Aviral Shrivastava, Gang Tan, Michael F. Spear SPX64: A Scratchpad Memory for General-purpose Microprocessors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Sai Manoj Pudukotai Dinakarrao Self-aware power management for multi-core microprocessors. Search on Bibsonomy Sustain. Comput. Informatics Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14David Shia, Jin Yang 0006 A Hertzian contact based model to estimate thermal resistance of thermal interface material for high-performance microprocessors. Search on Bibsonomy Microelectron. J. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Sai Manoj Pudukotai Dinakarrao, Hantao Huang, Hao Yu 0001 Energy-Efficient and Error-Resilient Cognitive I/O for 3-D-Integrated Manycore Microprocessors. Search on Bibsonomy IEEE Des. Test The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Matteo Busi 0001, Job Noorman, Jo Van Bulck, Letterio Galletta, Pierpaolo Degano, Jan Tobias Mühlberg, Frank Piessens Securing Interruptible Enclaved Execution on Small Microprocessors. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Shilpi Goel, Anna Slobodová, Rob Sumners, Sol Swords Balancing Automation and Control for Formal Verification of Microprocessors. Search on Bibsonomy CAV (1) The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Simone Dutto, Alessandro Savino, Stefano Di Carlo Exploring Deep Learning for In-Field Fault Detection in Microprocessors. Search on Bibsonomy DATE The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Zhiyao Xie, Xiaoqing Xu, Matt Walker, Joshua Knebel, Kumaraguru Palaniswamy, Nicolas Hebert, Jiang Hu, Huanrui Yang, Yiran Chen 0001, Shidhartha Das APOLLO: An Automated Power Modeling Framework for Runtime Power Introspection in High-Volume Commercial Microprocessors. Search on Bibsonomy MICRO The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Lilia Zaourar, Mohamed Benazouz, Ayoub Mouhagir, Fatma Jebali, Tanguy Sassolas, Jean-Christophe Weill, Carlos Falquez, Nam Ho, Dirk Pleiter, Antoni Portero, Estela Suarez, Polydoros Petrakis, Vassilis Papaefstathiou, Manolis Marazakis, Milan Radulovic, Francesc Martínez, Adrià Armejach, Marc Casas, Alejandro Nocua, Romain Dolbeau Multilevel simulation-based co-design of next generation HPC microprocessors. Search on Bibsonomy PMBS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Xiangyu Mao, Yan Lu 0002, Rui Paulo Martins A Dual-Loop 4-Phase Switching LDO with Scalable Load Capability and Tunable Active Voltage Positioning for Microprocessors. Search on Bibsonomy CICC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Alexander Aponte-Moreno, Felipe Restrepo-Calle, Cesar Augusto Pedraza Reliability Evaluation of RISC-V and ARM Microprocessors Through a New Fault Injection Tool. Search on Bibsonomy LATS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Alessandro Palumbo, Luca Cassano, Pedro Reviriego, Giuseppe Bianchi 0001, Marco Ottavi A Lightweight Security Checking Module to Protect Microprocessors against Hardware Trojan Horses. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Nazli Tokatli Auto scalable and morphable microprocessors (Otomatik-ölçeklenebilen ve şekil-değiştirebilen mikroişlemciler) Search on Bibsonomy 2021   RDF
14Daniel Gerzhoy On Efficient GPGPU Computing for Integrated Heterogeneous CPU-GPU Microprocessors. Search on Bibsonomy 2021   RDF
14Michael Hutter, Erich Wenger Fast Multi-precision Multiplication for Public-Key Cryptography on Embedded Microprocessors. Search on Bibsonomy J. Cryptol. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14 Retraction notice to 'APPREHENSION OF PARITY GENERATOR THROUGH ARRAY OF MACH ZEHNDER MODULATION PROCESS' [Microprocessors and Microsys, 74 (April 2020) 103003]. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Daniel Gerzhoy, Xiaowu Sun, Michael Zuzak, Donald Yeung Nested MIMD-SIMD Parallelization for Heterogeneous Microprocessors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Freddy Gabbay, Avi Mendelson, Yinnon Stav Electromigration-Aware Architecture for Modern Microprocessors. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
14Jawad Haj-Yahya, Mohammed Alser, Jeremie S. Kim, Lois Orosa 0001, Efraim Rotem, Avi Mendelson, Anupam Chattopadhyay, Onur Mutlu FlexWatts: A Power- and Workload-Aware Hybrid Power Delivery Network for Energy-Efficient Microprocessors. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
14Matteo Busi 0001, Job Noorman, Jo Van Bulck, Letterio Galletta, Pierpaolo Degano, Jan Tobias Mühlberg, Frank Piessens Provably Secure Isolation for Interruptible Enclaved Execution on Small Microprocessors: Extended Version. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
14Sunsoo Kim, Vaishnav Tadiparthi, Raktim Bhattacharya Nonlinear Attitude Estimation for Small UAVs with Low Power Microprocessors. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
14Freddy Gabbay, Avi Mendelson Asymmetric Aging Effect on Modern Microprocessors. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
14Sunsoo Kim, Vaishnav Tadiparthi, Raktim Bhattacharya Extended H2 Filtering for Attitude Estimation in Low Power Microprocessors. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
14Biswajit Bhowmik, Jatindra Kumar Deka, Santosh Biswas Improving Reliability in Spidergon Network on Chip-Microprocessors. Search on Bibsonomy MWSCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Biswajit Bhowmik, Santosh Biswas, Jatindra Kumar Deka, Bhargab B. Bhattacharya Locating Open-Channels in Octagon Networks on Chip-Microprocessors. Search on Bibsonomy ISVLSI The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Jinwei Zhang, Sheriff Sadiqbatcha, Wentian Jin, Sheldon X.-D. Tan Accurate Power Density Map Estimation for Commercial Multi-Core Microprocessors. Search on Bibsonomy DATE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Adeboye Stephen Oyeniran, Raimund Ubar, Maksim Jenihhin, Jaan Raik Implementation-Independent Functional Test for Transition Delay Faults in Microprocessors. Search on Bibsonomy DSD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Jawad Haj-Yahya, Mohammed Alser, Jeremie S. Kim, Lois Orosa 0001, Efraim Rotem, Avi Mendelson, Anupam Chattopadhyay, Onur Mutlu FlexWatts: A Power- and Workload-Aware Hybrid Power Delivery Network for Energy-Efficient Microprocessors. Search on Bibsonomy MICRO The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Matteo Busi 0001, Job Noorman, Jo Van Bulck, Letterio Galletta, Pierpaolo Degano, Jan Tobias Mühlberg, Frank Piessens Provably Secure Isolation for Interruptible Enclaved Execution on Small Microprocessors. Search on Bibsonomy CSF The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Sunsoo Kim, Vaishnav Tadiparthi, Raktim Bhattacharya Nonlinear Attitude Estimation for Small UAVs with Low Power Microprocessors. Search on Bibsonomy ACC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Dejan Spasov A Circuit for Flushing Instructions from Reservation Stations in Microprocessors. Search on Bibsonomy ICT Innovations The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Nagabhushan Reddy, Sankaran Menon, Prashant D. Joshi Validation Challenges in Recent Trends of Power Management in Microprocessors. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Nathaniel Bleier, Muhammad Husnain Mubarik, Farhan Rasheed, Jasmin Aghassi-Hagmann, Mehdi B. Tahoori, Rakesh Kumar 0002 Printed Microprocessors. Search on Bibsonomy ISCA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Angela Pohl Efficient utilization of vector extensions in microprocessors. Search on Bibsonomy 2020   RDF
14Zaheer Tabassam, Syed Rameez Naqvi, Tallha Akram, Musaed A. Alhussein, Khursheed Aurangzeb, Sajjad Ali Haider Towards Designing Asynchronous Microprocessors: From Specification to Tape-Out. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Takao Oshita, Jonathan Douglas, Arun Krishnamoorthy High-Volume Testing and DC Offset Trimming Technique of On-Die Bandgap Voltage Reference for SOCs and Microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Shoba Gopalakrishnan, Virendra Singh Soft-error reliable architecture for future microprocessors. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Spandana Rachamalla, Shashidhar Reddy, Arun Joseph Heterogeneity aware power abstractions for dynamic power dominated FinFET-based microprocessors. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Syed Rameez Naqvi, Ali Roman, Tallha Akram, Majed M. Alhaisoni, Muhammad Naeem 0001, Sajjad Ali Haider, Omer Chughtai, Muhammad Awais 0004 An Optimization Framework for Codes Classification and Performance Evaluation of RISC Microprocessors. Search on Bibsonomy Symmetry The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Sergey I. Malkovsky, Aleksei A. Sorokin, Sergey P. Korolev, A. A. Zatsarinnyi, G. I. Tsoi Performance Evaluation of a Hybrid Computer Cluster Built on IBM POWER8 Microprocessors. Search on Bibsonomy Program. Comput. Softw. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Adeboye Stephen Oyeniran, Raimund Ubar, Maksim Jenihhin, Cemil Cem Gürsoy, Jaan Raik Mixed-level identification of fault redundancy in microprocessors. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
14Tony Nowatzki, Vinay Gangadhar, Karthikeyan Sankaralingam Heterogeneous Von Neumann/dataflow microprocessors. Search on Bibsonomy Commun. ACM The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Adeboye Stephen Oyeniran, Raimund Ubar, Maksim Jenihhin, Jaan Raik Implementation-Independent Functional Test Generation for MSC Microprocessors. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Adeboye Stephen Oyeniran, Raimund Ubar, Maksim Jenihhin, Jaan Raik On Test Generation for Microprocessors for Extended Class of Functional Faults. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Patrick Uven, Philipp Ittershagen, Kim Grüttner Design and Analysis of an Online Update Approach for Embedded Microprocessors. Search on Bibsonomy IESS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Athanasios Chatzidimitriou, George Papadimitriou 0001, Christos Gavanas, George Katsoridas, Dimitris Gizopoulos Multi-Bit Upsets Vulnerability Analysis of Modern Microprocessors. Search on Bibsonomy IISWC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Adeboye Stephen Oyeniran, Raimund Ubar, Maksim Jenihhin, Cemil Cem Gürsoy, Jaan Raik Mixed-level identification of fault redundancy in microprocessors. Search on Bibsonomy LATS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Alexander Aponte-Moreno, Felipe Restrepo-Calle, Cesar Augusto Pedraza MiFIT: A Fault Injection Tool to Validate the Reliability of Microprocessors. Search on Bibsonomy LATS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Jawad Haj-Yahya, Efraim Rotem, Avi Mendelson, Anupam Chattopadhyay A Comprehensive Evaluation of Power Delivery Schemes for Modern Microprocessors. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Yi He 0010, Yanjing Li Time-Slicing Soft Error Resilience in Microprocessors for Reliable and Energy-Efficient Execution. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Gerhard Schrom, Michael J. Hill, Sarath Makala, Ravi Sankar Vunnam, Arun Krishnamoorthy, Ryan Ferguson Efficiency Measurement Method for Fully Integrated Voltage Regulators used in 4th and 5th Generation Intel® Core™ Microprocessors. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Michael Hutter, Erich Wenger Fast Multi-precision Multiplication for Public-Key Cryptography on Embedded Microprocessors. Search on Bibsonomy J. Cryptol. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Taizhi Liu, Chang-Chih Chen, Linda Milor Comprehensive Reliability-Aware Statistical Timing Analysis Using a Unified Gate-Delay Model for Microprocessors. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14David Wolpert 0001, Erwin Behnen, Leon J. Sigal, Yuen H. Chan, Gustavo Enrique Téllez, Douglas Bradley, Richard E. Serton, Rajesh Veerabhadraiah, William Ansley, Andrew Bianchi, Nagu Dhanwada, Sungjae Lee, Michael Scheuermann, Glen A. Wiedemeier, John Davis, Tobias Werner 0001, Laura Darden, Keith G. Barkley, Michael Gray, Matthew Guzowski, Mitch DeHond, Timothy Schell, Stelios Tsapepas, Di Phan, Kriti Acharya, Jeffrey A. Zitz, Hunter F. Shi, Christopher J. Berry, James D. Warnock, Michael H. Wood, Robert M. Averill III IBM z14: Enabling physical design in 14-nm technology for high-performance, high-reliability microprocessors. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Marc Fyrbiak, Simon Rokicki, Nicolai Bissantz, Russell Tessier, Christof Paar Hybrid Obfuscation to Protect Against Disclosure Attacks on Embedded Microprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Alberto Leva, Federico Terraneo, Irene Giacomello, William Fornaciari Event-Based Power/Performance-Aware Thermal Management for High-Density Microprocessors. Search on Bibsonomy IEEE Trans. Control. Syst. Technol. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Taeyoung Kim 0001, Zao Liu, Sheldon X.-D. Tan Dynamic reliability management based on resource-based EM modeling for multi-core microprocessors. Search on Bibsonomy Microelectron. J. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Panayotis M. Papazoglou A hybrid simulation platform for learning microprocessors. Search on Bibsonomy Comput. Appl. Eng. Educ. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Sai Manoj P. D., Axel Jantsch, Muhammad Shafique 0001 SmartDPM: Machine Learning-Based Dynamic Power Management for Multi-Core Microprocessors. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Manuel Peña-Fernandez, Almudena Lindoso, Luis Entrena, Mario García-Valderas, S. Philippe, Yolanda Morilla, Pedro Martín-Holgado PTM-based hybrid error-detection architecture for ARM microprocessors. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Guilherme Arroz, José Monteiro 0001, Arlindo L. Oliveira Computer Architecture - Digital Circuits to Microprocessors Search on Bibsonomy 2018   DOI  RDF
14Vivian Noronha, Ekkehard Lang, Maximilian Riegel, Thomas Bauschert Performance Evaluation of Container Based Virtualization on Embedded Microprocessors. Search on Bibsonomy ITC (1) The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Rosario Cammarota, Rami Sheikh VPsec: countering fault attacks in general purpose microprocessors with value prediction. Search on Bibsonomy CF The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Rami Sheikh, Ro Cammarota, Wenjia Ruan Value prediction for security (VPsec): Countering fault attacks in modern microprocessors. Search on Bibsonomy HOST The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Miroslav N. Velev Survey of Techniques for Efficient Solving of Boolean Formulas from Formal Verification of Pipelined, Superscalar, and VLIW Microprocessors at a High Level of Abstraction. Search on Bibsonomy ISAIM The full citation details ... 2018 DBLP  BibTeX  RDF
14Mohammed El-Abd Balancing low-level vs. high-level programming knowledge in an undergraduate microprocessors course. Search on Bibsonomy EDUCON The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Yaman Cakmakci Investigating power management schemes in out-of-order microprocessors. Search on Bibsonomy 2018   RDF
14Lieven Eeckhout Hot Chips: Industry and Academia Cutting-Edge Microprocessors. Search on Bibsonomy IEEE Micro The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Yingnan Cui, Wei Zhang 0012, Bingsheng He A Variation-Aware Adaptive Fuzzy Control System for Thermal Management of Microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Xin Li 0042, Xueting Wei, Wei Zhou Heuristic thermal sensor allocation methods for overheating detection of real microprocessors. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Martin Omaña 0001, Marco Padovani, Kreshnik Veliu, Cecilia Metra, Juergen Alt, Rajesh Galivanche New Approaches for Power Binning of High Performance Microprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14 Retraction notice to 'Power Modeling for High Performance Network-on-Chip Architectures' [Microprocessors and Microsystems 50 (2017) 80-89]. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Sungkyung Park 0001, Chester Sungchung Park Design of Low-Gate-Count Low-Power Microprocessors with High Code Density for Deeply Embedded Applications. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Mohammed El-Abd Preparation of Engineering Students for Capstone Design Experience through a Microprocessors Course. Search on Bibsonomy Int. J. Eng. Pedagog. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Diary R. Sulaiman, Ibrahim Ismael Hamad, Muhammed A. Ibrahim Microprocessors optimal power dissipation using combined threshold hopping and voltage scaling. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Diary R. Sulaiman, Ibrahim Ismael Hamad, Muhammed A. Ibrahim Adaptive supply and body voltage control for ultra-low power microprocessors. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Yang Zhang, Zhi Qi, Xiaoxi Wu, Wenjie Fu A novel evaluation method for superscalar out-of-order ARM microprocessors targeting android applications. Search on Bibsonomy PACRIM The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Alexander Kamkin, Andrei Tatarnikov MicroTESK: A Tool for Constrained Random Test Program Generation for Microprocessors. Search on Bibsonomy Ershov Informatics Conference The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Yuanbo Fan, Russ Joseph D2M: data-driven model for fast and accurate timing error simulation in statically scheduled microprocessors. Search on Bibsonomy SummerSim The full citation details ... 2017 DBLP  BibTeX  RDF
14Paolo Bernardi, Sergio de Luca, Davide Piumatti, S. Regis, Ernesto Sánchez 0001, Alessandro Sansonetti On the in-field testing of spare modules in automotive microprocessors. Search on Bibsonomy VLSI-SoC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Xin Li 0042, Xueting Wei, Zhemin Duan Fast thermal sensor allocation algorithms for overheating detection of real microprocessors. Search on Bibsonomy IECON The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Adeboye Stephen Oyeniran, Artjom Jasnetski, Anton Tsertov, Raimund Ubar High-level test data generation for software-based self-test in microprocessors. Search on Bibsonomy MECO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14George Papadimitriou 0001, Manolis Kaliorakis, Athanasios Chatzidimitriou, Charalampos Magdalinos, Dimitris Gizopoulos Voltage margins identification on commercial x86-64 multicore microprocessors. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Davide Bertozzi, Sébastien Rumley Propelling breakthrough embedded microprocessors by means of integrated photonics. Search on Bibsonomy SoCC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Teruo Tanimoto, Takatsugu Ono, Koji Inoue CPCI Stack: Metric for Accurate Bottleneck Analysis on OoO Microprocessors. Search on Bibsonomy CANDAR The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Abbas A. Fairouz, Monther Abusultan, Sunil P. Khatri Circuit Level Design of a Hardware Hash Unit for use in Modern Microprocessors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Artjom Jasnetski, Raimund Ubar, Anton Tsertov Automated software-based self-test generation for microprocessors. Search on Bibsonomy MIXDES The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Panayotis Papazoglou, Anastasios Moschos OpenHardSim: An open source hardware based simulator for learning microprocessors. Search on Bibsonomy EDUCON The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Wei Wang 0201 An improved instruction-level power and energy model for RISC microprocessors. Search on Bibsonomy 2017   RDF
14Sung-Yee Guo The Advantage of Custom Microprocessors for Stochastic Gradient Descent in Graph-Based Robot Localization and Mapping. Search on Bibsonomy 2017   RDF
14Yunsup Lee, Andrew Waterman, Henry Cook, Brian Zimmer, Ben Keller, Alberto Puggelli, Jaehwa Kwak, Ruzica Jevtic, Stevo Bailey, Milovan Blagojevic, Pi-Feng Chiu, Rimas Avizienis, Brian C. Richards, Jonathan Bachrach, David A. Patterson 0001, Elad Alon, Bora Nikolic, Krste Asanovic An Agile Approach to Building RISC-V Microprocessors. Search on Bibsonomy IEEE Micro The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Tay-Jyi Lin, Ting-Yu Shyu Speculative Lookahead for Energy-Efficient Microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 2330 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license