The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multiplexers with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1975-1987 (17) 1988-1991 (16) 1992-1994 (20) 1995 (18) 1996-1997 (19) 1998 (19) 1999 (15) 2000 (23) 2001 (20) 2002 (16) 2003 (22) 2004 (24) 2005 (19) 2006 (22) 2007 (34) 2008 (21) 2009-2012 (16) 2013-2015 (17) 2016-2018 (22) 2019-2023 (18) 2024 (1)
Publication types (Num. hits)
article(150) inproceedings(246) phdthesis(3)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 304 occurrences of 225 keywords

Results
Found 399 publication records. Showing 399 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Kuo-Hsing Cheng, Shun-Wen Cheng, Che-Yu Liao 64-bit Low Threshold Voltage High-Speed Conditional Carry Adder by Complementary Pass-Transistor Logi. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF CPL, conditional sum adder, low-threshold voltage, differential-end, VLSI design, low-voltage
12Erik Chmelar Subframe multiplexing for FPGA manufacturing test configuration. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Anatole D. Ruslanov, Jeremy R. Johnson An FPGA implementation of bene permutation networks. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Deming Chen, Jason Cong Register binding and port assignment for multiplexer optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Il-soo Lee, Yong Min Hur, Tony Ambler The Efficient Multiple Scan Chain Architecture Reducing Power Dissipation and Test Time. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Jianhui Xing, Hong Wang, Shiyuan Yang Constructing Transparency Paths for IP Cores Using Greedy Searching Strategy. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Oliver A. Pfänder, Roland Hacker, Hans-Jörg Pfleiderer A Multiplexer-Based Concept for Reconfigurable Multiplier Arrays. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Kanishka Lahiri, Anand Raghunathan Power analysis of system-level on-chip communication architectures. Search on Bibsonomy CODES+ISSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF system-on-chip, network-on-chip, low-power design, power analysis, communication architectures
12Shao-Hua Lee, Jeen-Shing Wang Design of a neuro-fuzzy chip using adaptive multimode approaches for an intelligent car-backing system. Search on Bibsonomy SMC (4) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Leah Epstein, Asaf Levin Better Bounds for Minimizing SONET ADMs. Search on Bibsonomy WAOA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Edward J. McCluskey, Ahmad A. Al-Yamani, Chien-Mo James Li, Chao-Wen Tseng, Erik H. Volkerink, François-Fabien Ferhani, Edward Li, Subhasish Mitra ELF-Murphy Data on Defects and Test Sets. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12David C. Keezer, Dany Minier, F. Binette Modular Extension of ATE to 5 Gbps. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Rohini Krishnan, José Pineda de Gyvez Low Energy Switch Block For FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Anand Raghunathan, Sujit Dey, Niraj K. Jha High-level macro-modeling and estimation techniques for switching activity and power consumption. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Arturo Hernández Aguirre, Carlos A. Coello Coello Evolutionary Synthesis of Logic Circuits Using Information Theory. Search on Bibsonomy Artif. Intell. Rev. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF evolutionary algorithms, genetic programming, computer-aided design, information theory, evolvable hardware, circuit synthesis
12Bibhudatta Sahoo 0002, Keshab K. Parhi A Low Power Correlator for CDMA Wireless Systems. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low-power, correlator, CDMA, incrementer
12Peter Winkler 0001, Lisa Zhang Wavelength assignment and generalized interval graph coloring. Search on Bibsonomy SODA The full citation details ... 2003 DBLP  BibTeX  RDF
12Jong-Ru Guo, Chao You, Kuan Zhou, Bryan S. Goda, Russell P. Kraft, John F. McDonald 0001 A scalable 2 V, 20 GHz FPGA using SiGe HBT BiCMOS technology. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF basic cell, FPGA, CML, SiGe
12Aneesh Koorapaty, Vikas Chandra, Kim Yaw Tong, Chetan Patel, Lawrence T. Pileggi, Herman Schmit Heterogeneous Programmable Logic Block Architectures. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Irith Pomeranz, Sudhakar M. Reddy A DFT Approach for Path Delay Faults in Interconnected Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Arturo Hernández Aguirre, Edgar C. González Equihua, Carlos A. Coello Coello Synthesis of Boolean Functions Using Information Theory. Search on Bibsonomy ICES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12James R. Heath A systems approach to molecular electronics. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Arturo Hernández Aguirre, Carlos A. Coello Coello Gate-level Synthesis of Boolean Functions using Information Theory Concepts. Search on Bibsonomy ENC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Manev Luthra, Sumit Gupta, Nikil D. Dutt, Rajesh K. Gupta 0001, Alexandru Nicolau Interface Synthesis using Memory Mapping for an FPGA Platform. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Süleyman Sirri Demirsoy, Andrew G. Dempster, Izzet Kale Design guidelines for reconfigurable multiplier blocks. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Yeong-Kang Lai, Han-Jen Hsu A cost-effective 2-D discrete cosine transform processor with reconfigurable datapath. Search on Bibsonomy ISCAS (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Chao You, Jong-Ru Guo, Russell P. Kraft, Kuan Zhou, Michael Chu, John F. McDonald 0001 A 5-20 GHz, low power FPGA implemented by SiGe HBT BiCMOS technology. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF BC, BCII, FPGA, dynamic routing, CML
12Tomokazu Yoneda, Hideo Fujiwara Design for Consecutive Transparency of Cores in System-on-a-Chip. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF consecutive transparency, design for testability, system-on a chip, register transfer level, test access mechanism, consecutive testability
12Cristian Estan, George Varghese, Mike Fisk Counting the number of active flows on a high speed link. Search on Bibsonomy Comput. Commun. Rev. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Witold Pedrycz, George Vukovich An fMUX architecture: data modularization and mixed-mode system modeling. Search on Bibsonomy Soft Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Fuzzy multiplexer, Mixed-mode modeling, OR and AND neurons, Learning, Multivalued logic, Digital systems, Logic modeling
12George Koutroumpezis, Konstantinos Tatas, Dimitrios Soudris, Spyros Blionas, Kostas Masselos, Adonios Thanailakis Architecture Design of a Reconfigurable Multiplier for Flexible Coarse-Grain Implementations. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Steve L. Ferenci, Richard M. Fujimoto, Mostafa H. Ammar, Kalyan S. Perumalla, George F. Riley Updateable simulation of communication networks. Search on Bibsonomy PADS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF event composition, event reuse, incremental simulation, shared computation
12Mario R. Casu, Philippe Flatresse Converting an Embedded Low-Power SRAM from Bulk to PD-SOI. Search on Bibsonomy MTDT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Lin Zhong 0001, Niraj K. Jha Interconnect-aware high-level synthesis for low power. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Joshua J. Yi, David J. Lilja Improving Processor Performance by Simplifying and Bypassing Trivial Computations. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Salvatore Pontarelli, Gian Carlo Cardarilli, A. Leandri, Marco Ottavi, Marco Re, Adelio Salsano A self-checking cell logic block for fault tolerant FPGAs. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Yuanyuan Yang 0001, Jianchao Wang A Class of Multistage Conference Switching Networks for Group Communication. Search on Bibsonomy ICPP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Richard J. Blaikie, Maan M. Alkaisi, Steven M. Durbin, David R. S. Cumming Teaching Integrated Circuit and Semiconductor Device Design in New Zealand: The University of Canterbury Approach. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Semiconductor Devices, Education, Integrated Circuit
12Miron Abramovici, Charles E. Stroud BIST-based test and diagnosis of FPGA logic blocks. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Zaher Abdulkarim Baidas, Andrew D. Brown, Alan Christopher Williams Floating-point behavioral synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Xin Yuan, Amit Fulay A Wavelength Assignment Heuristic to Minimize SONET ADMs in WDM Rings. Search on Bibsonomy ICPP Workshops The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Lawrence Davis, Chunsheng Fu, Stewart W. Wilson An Incremental Multiplexer Problem and Its Uses in Classifier System Research. Search on Bibsonomy IWLCS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Chi-Li Yu, An-Yeu Wu An improved time-recursive lattice structure for low-latency IFFT architecture in DMT transmitter. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Youngjoon Kim, Lee-Sup Kim A low power carry select adder with reduced area. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Kisun Kim, Taekyoon Ahn, Sang-Yeol Han, Chang-Seung Kim, Ki-Hyun Kim Low-power multiplexer decomposition by suppressing propagation of signal transitions. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Kamal Rajagopalan, Peter R. Sutton A flexible multiplication unit for an FPGA logic block. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Tat Ngai, Earl E. Swartzlander Jr., Chen He Enhanced Concurrent Error Correcting Arithmetic Unit Design Using Alternating Logic. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Alternating Logic, Fault Tolerance, TMR, Concurrent Error Correcting, Arithmetic Unit
12Ralf Münzenberger, Frank Slomka, Matthias Dörfel, Richard Hofmann A General Approach for the Specification of Real-Time Systems with SDL. Search on Bibsonomy SDL Forum The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Roberto Battiti, Mauro Brunato Reactive Search for Traffic Grooming in WDM Networks. Search on Bibsonomy IWDC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Indradeep Ghosh, Niraj K. Jha, Sudipta Bhawmik A BIST scheme for RTL circuits based on symbolic testabilityanalysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Taewhan Kim, Junhyung Um A practical approach to the synthesis of arithmetic circuits usingcarry-save-adders. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Michel Renovell, Jean-Michel Portal, Joan Figueras, Yervant Zorian Testing the Local Interconnect Resources of SRAM-Based FPGA's. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FPGA, VLSI, test, ATPG
12Kanad Chakraborty, Pinaki Mazumder New March Tests for Multiport RAM Devices. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF multi-port RAM, simplex and duplex coupling faults, concurrent coupling faults
12Subhasish Mitra, LaNae J. Avra, Edward J. McCluskey Efficient Multiplexer Synthesis Techniques. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Thomas Bräunl Register-Transfer Level Simulation. Search on Bibsonomy MASCOTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Saso Stojanovski, Maurice Gagnaire, Rudy Hoebeke Probiding GFR Guarantees for TCP/IP Traffic over APON Access Systems. Search on Bibsonomy NETWORKING The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Christoph Scholl 0001, Bernd Becker 0001 On the Generation of Multiplexer Circuits for Pass Transistor Logic. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Marcos Postigo-Boix, Mónica Aguilar-Igartua, Joan García-Haro Inverse Multiplexing for ATM. Technical Operation, Applications and Performance Evaluation Study. Search on Bibsonomy ISCC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Zlatko Zografski, Toni Janevski Analyses of MPEG-4 Video Streams Processing in Computer Clusters Based on Multihop ATM Networks. Search on Bibsonomy ISCC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Video networking, multihop ATM networks, QoS, MPEG-4, computer clusters
12Rolf Drechsler, Mitchell A. Thornton, David Wessels MDD-Based Synthesis of Multi-Valued Logic Networks. Search on Bibsonomy ISMVL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Bibhudatta Sahoo 0002, Martin Kuhlmann, Keshab K. Parhi A low-power correlator. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Bogdan J. Falkowski, Sudha Kannurao Spectral Theory of Disjunctive Decomposition for Balanced Boolean Functions. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Disjunctive decomposition, Balanced Boolean functions, Walsh Transform
12Indradeep Ghosh, Anand Raghunathan, Niraj K. Jha Hierarchical test generation and design for testability methods for ASPPs and ASIPs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Matthias Falkner, Michael Devetsikiotis, Ioannis Lambadaris Fast Simulation of Networks of Queues with Effective and Decoupling Bandwidths. Search on Bibsonomy ACM Trans. Model. Comput. Simul. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF asynchronous transfer mode, importance sampling, rare events, fast simulation
12Dimitris Nikolos, Haridimos T. Vergos, Th. Haniotakis, Y. Tsiatouhas Path Delay Fault Testing of ICs with Embedded Intellectual Property Blocks. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Shyue-Kung Lu, Cheng-Wen Wu A novel approach to testing LUT-based FPGAs. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12John F. McDonald 0001, Bryan S. Goda Reconfigurable FPGA's in the 1-20 GHz Band with HBT BiCMOS. Search on Bibsonomy Evolvable Hardware The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Wei-Kang Huang, Fred J. Meyer, Xiao-Tao Chen, Fabrizio Lombardi Testing configurable LUT-based FPGA's. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Nick G. Duffield Queueing at large resources driven by long-tailed M/G/Y-modulated processes. Search on Bibsonomy Queueing Syst. Theory Appl. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF shape function, self-similarity, large deviations, long-range dependence, heavy-tailed distribution, multiple time-scales
12Lan Zhao, D. M. H. Walker, Fabrizio Lombardi IDDQ Testing of Bridging Faults in Logic Resources of Reconfigurable Field Programmable Gate Arrays. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Chih-Chang Lin, Malgorzata Marek-Sadowska, Mike Tien-Chien Lee, Kuang-Chien Chen Cost-free scan: a low-overhead scan path design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Chih-Chang Lin, Malgorzata Marek-Sadowska, Kwang-Ting Cheng, Mike Tien-Chien Lee Test-point insertion: scan paths through functional logic. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Wenyi Feng, Fred J. Meyer, Wei-Kang Huang, Fabrizio Lombardi On the Complexity of Sequential Testing in Configurable FPGAs. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF FPGA, pipeline, PLD, sequential testing, iterative array
12Indradeep Ghosh, Niraj K. Jha, Sudipta Bhawmik A BIST Scheme for RTL Controller-Data Paths Based on Symbolic Testability Analysis. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Sumant Ramprasad, Naresh R. Shanbhag, Ibrahim N. Hajj Analytical estimation of signal transition activity from word-level statistics. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
12Nur A. Touba, Bahram Pouya Using Partial Isolation Rings to Test Core-Based Designs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
12Michel Renovell, Jean-Michel Portal, Joan Figueras, Yervant Zorian Test Pattern and Test Configuration Generation Methodology for the Logic of RAM-Based FPGA. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF FPGA, VLSI, Test, CMOS, IC
12Edward W. Knightly Second Moment Resource Allocation in Multi-Service Networks. Search on Bibsonomy SIGMETRICS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
12C. P. Ravikumar, R. Aggarwal, C. Sharma A Graph-Theoretic Approach for Register File Based Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
12Krishnendu Chakrabarty, John P. Hayes Test response compaction using multiplexed parity trees. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
12Anand Raghunathan, Sujit Dey, Niraj K. Jha Register-transfer level estimation techniques for switching activity and power consumption. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF gate-level implementation, register-transfer level estimation, logic design, power consumption, switching activity, glitching, RTL designs
12Lizy Kurian John VaWiRAM: a variable width random access memory module. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF VaWiRAM, variable width random access memory, flexible memory systems, configuration pins, pass gates, reconfigurability, reconfigurable architectures, memory architecture, programmable logic, random-access storage, integrated circuit interconnections, programmable logic devices, programmable interconnect
12Santonu Sarkar, Anupam Basu, Arun K. Majumdar Representation and Synthesis of Interface of a Circuit for its Reuse. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF VaWiRAM, variable width random access memory, flexible memory systems, configuration pins, pass gates, reconfigurability, reconfigurable architectures, memory architecture, programmable logic, random-access storage, integrated circuit interconnections, programmable logic devices, programmable interconnect
12Israel Cidon, Roch Guérin, Ilan Kessler, Asad Khamisy Analysis of a statistical multiplexer with generalized periodic sources. Search on Bibsonomy Queueing Syst. Theory Appl. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Periodic queue, ON-OFF sources, ballot theory, fluid model
12Muhammad K. Dhodhi, Frank H. Hielscher, Robert H. Storer, Jayaram Bhasker Datapath synthesis using a problem-space genetic algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
12Rajesh Gupta 0003, Melvin A. Breuer Partial scan design of register-transfer level circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF serial scan design, I-paths, design for testability, register-transfer level designs, balanced structures, partial scan design
12Gensoh Matsubara, Nobuhiro Ide, Haruyuki Tago, Seigo Suzuki, Nobuyuki Goto 30-ns 55-b Radix 2 Division and Square Root Using a Self-Timed Circuit. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1995 DBLP  DOI  BibTeX  RDF simulation, CMOS, division, square root, self-timed, SRT, on-the-fly
12Amit Chowdhary, John P. Hayes Technology mapping for field-programmable gate arrays using integer programming. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Field-programmable gate arrays (FPGAs), technology mapping, mixed integer linear programming (MILP), lookup tables, circuit partitioning
12Thomas M. Sarfert, Remo G. Markgraf, Michael H. Schulz, Erwin Trischler A hierarchical test pattern generation system based on high-level primitives. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
12Raul Camposano From Behavior to Structure: High-Level Synthesis. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
12W. E. Mattis A Hybrid Architecture for Neurocomputing (Abstract). Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
12Forrest Brewer, Barry M. Pangrle, Andrew Seawright Interconnection synthesis with geometric constraints. Search on Bibsonomy MICRO The full citation details ... 1990 DBLP  BibTeX  RDF
12Hussam Y. Abujbara, Sami A. Al-Arian Self-testing and self-reconfiguration architecture for 2-D WSI arrays. Search on Bibsonomy SPDP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
12Kayhan Küçükçakar, Alice C. Parker Data Path Tradeoffs Using MABAL. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
12Richard J. Reid Interactive digital-simulation laboratory gains special components. Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
12Thomas Philip Using animated color graphics to illustrate software and hardware organizations (abstract only). Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
12Rajiv Jain, Alice C. Parker, Nohbyung Park Predicting Area-Time Tradeoffs for Pipelined Design. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
12Robert C. Fairfield, Alex Matusevich, Joseph Plany An LSI Digital Encryption Processor (DEP). Search on Bibsonomy CRYPTO The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
12S. M. Schiffman Designing and managing an SNA network for growth. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1983 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #399 of 399 (100 per page; Change: )
Pages: [<<][1][2][3][4]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license