|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 1652 occurrences of 756 keywords
|
|
|
Results
Found 2122 publication records. Showing 2122 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
31 | Bernardo de la Ossa, José A. Gil 0001, Julio Sahuquillo, Ana Pont |
Delfos: the Oracle to Predict NextWeb User's Accesses. |
AINA |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Chun-Chieh Yang, Ssu-Hsuan Lu, Hsiao-Hsi Wang, Kuan-Ching Li |
On Design and Implementation of Adaptive Data Classification Scheme for DSM Systems. |
ISPA |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Zhenmin Li, Zhifeng Chen, Yuanyuan Zhou 0001 |
Mining block correlations to improve storage performance. |
ACM Trans. Storage |
2005 |
DBLP DOI BibTeX RDF |
block correlations, Storage management, mining methods and algorithms, file system management |
31 | Kyle J. Nesbit, Ashutosh S. Dhodapkar, James E. Smith 0001 |
AC/DC: An Adaptive Data Cache Prefetcher. |
IEEE PACT |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Lei Shi 0001, Zhimin Gu, Lin Wei II, Yun Shi |
Popularity-Based Selective Markov Model. |
Web Intelligence |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Suleyman Sair, Timothy Sherwood, Brad Calder |
Quantifying Load Stream Behavior. |
HPCA |
2002 |
DBLP DOI BibTeX RDF |
|
31 | Gautam Doshi, Rakesh Krishnaiyer, Kalyan Muthukumar |
Optimizing Software Data Prefetches with Rotating Registers. |
IEEE PACT |
2001 |
DBLP DOI BibTeX RDF |
|
31 | Yvonne Coady, Gregor Kiczales, Michael J. Feeley, Greg Smolyn |
Using aspectC to improve the modularity of path-specific customization in operating system code. |
ESEC / SIGSOFT FSE |
2001 |
DBLP DOI BibTeX RDF |
aspect-oriented programming, software modularity, operating system design |
31 | An-Chow Lai, Cem Fide, Babak Falsafi |
Dead-block prediction & dead-block correlating prefetchers. |
ISCA |
2001 |
DBLP DOI BibTeX RDF |
|
31 | Steven P. Vanderwiel, David J. Lilja |
A Compiler-Assisted Data Prefetch Controller. |
ICCD |
1999 |
DBLP DOI BibTeX RDF |
|
31 | Hae-Sun Shin, Gyeong-Hun Kim, Se-Jin Hwang, A-Rum Jun, Gun-Hee Kim, Myong-Soon Park |
An Effective Cache-Index Forwarding over Wireless Network for the World-Wide Web. |
ICPADS |
1998 |
DBLP DOI BibTeX RDF |
|
31 | Darrell D. E. Long |
A Note on Bit-Mapped Free Sector Management. |
ACM SIGOPS Oper. Syst. Rev. |
1993 |
DBLP DOI BibTeX RDF |
|
29 | Hyo J. Lee, In Hwan Doh, Sam H. Noh |
RPP: reference pattern based prefetching controller. |
SAC |
2009 |
DBLP DOI BibTeX RDF |
prefectching, reference pattern |
29 | Luis M. Ramos, José Luis Briz, Pablo E. Ibáñez, Víctor Viñals |
Low-Cost Adaptive Data Prefetching. |
Euro-Par |
2008 |
DBLP DOI BibTeX RDF |
|
29 | Jean Christophe Beyler, Michael Klemm, Michael Philippsen, Philippe Clauss |
Automatic Prefetching with Binary Code Rewriting in Object-Based DSMs. |
Euro-Par |
2008 |
DBLP DOI BibTeX RDF |
|
29 | Jie Tao 0001, Georges Kneip, Wolfgang Karl |
Guided Prefetching Based on Runtime Access Patterns. |
ICCS (3) |
2008 |
DBLP DOI BibTeX RDF |
|
29 | M. Mustafa Rafique, Ali Raza Butt, Dimitrios S. Nikolopoulos |
DMA-based prefetching for i/o-intensive workloads on the cell architecture. |
Conf. Computing Frontiers |
2008 |
DBLP DOI BibTeX RDF |
i/o intensive workloads, high-performance computing, cell broadband engine |
29 | Yong Chen 0001, Surendra Byna, Xian-He Sun, Rajeev Thakur, William Gropp |
2008 International Conference on Parallel Processing September 8-12, 2008 Portland, Oregon Exploring Parallel I/O Concurrency with Speculative Prefetching. |
ICPP |
2008 |
DBLP DOI BibTeX RDF |
|
29 | Minseok Song 0001 |
Energy-aware data prefetching for multi-speed disks in video servers. |
ACM Multimedia |
2007 |
DBLP DOI BibTeX RDF |
|
29 | Rui Chu, Nong Xiao, Lei Chen 0002, Xicheng Lu |
A push-based prefetching for cooperative caching RAM Grid. |
ICPADS |
2007 |
DBLP DOI BibTeX RDF |
|
29 | Juan Chen 0001, Yong Dong, Huizhan Yi, Xuejun Yang |
Power-Directed Software Prefetching Algorithm with Dynamic Voltage Scaling. |
International Conference on Computational Science (4) |
2007 |
DBLP DOI BibTeX RDF |
|
29 | Minas Dasygenis, Erik Brockmeyer, Bart Durinck, Francky Catthoor, Dimitrios Soudris, Adonios Thanailakis |
A combined DMA and application-specific prefetching approach for tackling the memory latency bottleneck. |
IEEE Trans. Very Large Scale Integr. Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
29 | Sourav S. Bhowmick, Sandeep Prakash |
Every Click You Make, IWill Be Fetching It: Efficient XML Query Processing in RDMS Using GUI-driven Prefetching. |
ICDE |
2006 |
DBLP DOI BibTeX RDF |
|
29 | Josep Domenech 0001, Ana Pont, Julio Sahuquillo, José A. Gil 0001 |
Cost-Benefit Analysis of Web Prefetching Algorithms from the User's Point of View. |
Networking |
2006 |
DBLP DOI BibTeX RDF |
|
29 | Ibrahim Hur, Calvin Lin |
Memory Prefetching Using Adaptive Stream Detection. |
MICRO |
2006 |
DBLP DOI BibTeX RDF |
|
29 | Chen-Yong Cher, Il Park 0001, T. N. Vijaykumar |
Do Trace Cache, Value Prediction and Prefetching Improve SMT Throughput?. |
ARCS |
2006 |
DBLP DOI BibTeX RDF |
|
29 | Sang-Won Kang, SeokJin Im, Jongwan Kim, SeongHoon Lee, Chong-Sun Hwang |
Considering a Semantic Prefetching Scheme for Cache Management in Location-Based Services. |
KES (1) |
2006 |
DBLP DOI BibTeX RDF |
|
29 | Jerry Chun-Ping Wang, Hossam ElGindy, Justin Lipman |
On Cache Prefetching Strategies For Integrated Infostation-Cellular Network. |
LCN |
2006 |
DBLP DOI BibTeX RDF |
|
29 | Chih-Ping Wei, Paul Jen-Hwa Hu, Olivia R. Liu Sheng, Yen-Hsien Lee |
Intelligent image prefetching for supporting radiologists' primary reading: a decision-rule inductive learning approach. |
IEEE Trans. Syst. Man Cybern. Part A |
2005 |
DBLP DOI BibTeX RDF |
|
29 | Philip J. Rhodes, Sridhar Ramakrishnan 0001 |
Iteration Aware Prefetching for Remote Data Access. |
e-Science |
2005 |
DBLP DOI BibTeX RDF |
|
29 | Jiwei Lu, Abhinav Das, Wei-Chung Hsu, Khoa Nguyen, Santosh G. Abraham |
Dynamic Helper Threaded Prefetching on the Sun UltraSPARC CMP Processor. |
MICRO |
2005 |
DBLP DOI BibTeX RDF |
|
29 | Cristian Ruz, José M. Piquer |
Searching an Optimal History Size for History-Based Page Prefetching on Software DSM Systems. |
HPCC |
2005 |
DBLP DOI BibTeX RDF |
|
29 | Jin Ah Yoo, Dong Chun Lee |
Fuzzy-Based Prefetching Scheme for Effective Information Support in Mobile Networks. |
MSN |
2005 |
DBLP DOI BibTeX RDF |
|
29 | Abhishek Sharma, Azer Bestavros, Ibrahim Matta |
dPAM: a distributed prefetching protocol for scalable asynchronous multicast in P2P systems. |
INFOCOM |
2005 |
DBLP DOI BibTeX RDF |
|
29 | Savvas Gitzenis, Nicholas Bambos |
Efficient Data Prefetching for Power-Controlled Wireless Packet Networks. |
MobiQuitous |
2004 |
DBLP DOI BibTeX RDF |
|
29 | G. Surendra, Subhasis Banerjee, S. K. Nandy 0001 |
On the effectiveness of prefetching and reuse in reducing L1 data cache traffic: a case study of Snort. |
WMPI |
2004 |
DBLP DOI BibTeX RDF |
cache traffic, intrusion detection, pattern matching, network processor, instruction reuse |
29 | KwangJin Park, MoonBae Song, Chong-Sun Hwang |
Broadcasting and Prefetching Schemes for Location Dependent Information Services. |
W2GIS |
2004 |
DBLP DOI BibTeX RDF |
|
29 | Ivan T. Bowman, Kenneth Salem |
Optimization of Query Streams Using Semantic Prefetching. |
SIGMOD Conference |
2004 |
DBLP DOI BibTeX RDF |
|
29 | Kristian Kvilekval, Ambuj K. Singh |
SPREE: Object Prefetching for Mobile Computers. |
CoopIS/DOA/ODBASE (2) |
2004 |
DBLP DOI BibTeX RDF |
|
29 | Yao Guo 0001, Saurabh Chheda, Israel Koren, C. Mani Krishna 0001, Csaba Andras Moritz |
Energy Characterization of Hardware-Based Data Prefetching. |
ICCD |
2004 |
DBLP DOI BibTeX RDF |
|
29 | Vincent T. Y. Ng, Chi-Kong Chan, Shiu Hin Wang |
Prefetching XML Data with Abstract Query Mining. |
ITCC (2) |
2004 |
DBLP DOI BibTeX RDF |
|
29 | George Kastaniotis, Nick Z. Zacharis, Themis Panayiotopoulos, Christos Douligeris |
Intelligent Web Prefetching Based upon User Profiles - The WebNaut Case. |
SETN |
2004 |
DBLP DOI BibTeX RDF |
|
29 | Xin Chen 0034, Xiaodong Zhang 0001 |
A Popularity-Based Prediction Model for Web Prefetching. |
Computer |
2003 |
DBLP DOI BibTeX RDF |
|
29 | Jung-Hoon Lee, Gi-Ho Park, Shin-Dug Kim |
An Adaptive Multi-Module Cache with Hardware Prefetching Mechanism for Multimedia Applications. |
PDP |
2003 |
DBLP DOI BibTeX RDF |
|
29 | Punit R. Doshi, Elke A. Rundensteiner, Matthew O. Ward |
Prefetching for Visual Data Exploratio. |
DASFAA |
2003 |
DBLP DOI BibTeX RDF |
|
29 | Susanne Albers, Markus Büttner |
Integrated Prefetching and Caching with Read and Write Requests. |
WADS |
2003 |
DBLP DOI BibTeX RDF |
|
29 | Yuna Kim, Jong Kim 0001 |
Web Prefetching Using Display-Based Prediction. |
Web Intelligence |
2003 |
DBLP DOI BibTeX RDF |
|
29 | Dongho Lee, Jungsup Kim, Soo Duk Kim, Ki-Chang Kim, Jaehyun Park 0003 |
Robustness of a Neighbor Selection Markov Chain in Prefetching Tiled Web Data. |
AISA |
2002 |
DBLP DOI BibTeX RDF |
|
29 | Vijay S. Pai, Sarita V. Adve |
Comparing and Combining Read Miss Clustering and Software Prefetching. |
IEEE PACT |
2001 |
DBLP DOI BibTeX RDF |
|
29 | David A. Hutchinson, Peter Sanders 0001, Jeffrey Scott Vitter |
Duality between Prefetching and Queued Writing with Parallel Disks. |
ESA |
2001 |
DBLP DOI BibTeX RDF |
|
29 | Sang-Kwon Lee, Hee-Chul Yun, Joonwon Lee, Seungryoul Maeng |
Adaptive Prefetching Technique for Shared Virtual Memory. |
CCGRID |
2001 |
DBLP DOI BibTeX RDF |
|
29 | Chengqiang Zhang, Sally A. McKee |
Hardware-only stream prefetching and dynamic access ordering. |
ICS |
2000 |
DBLP DOI BibTeX RDF |
|
29 | Jasmine Y. Q. Wang, Joon Suan Ong, Yvonne Coady, Michael J. Feeley |
Using Idle Workstations to Implement Predictive Prefetching. |
HPDC |
2000 |
DBLP DOI BibTeX RDF |
|
29 | Chi-Hung Chi, Jun-Li Yuan |
Design Considerations of High Performance Data Cache with Prefetching. |
Euro-Par |
1999 |
DBLP DOI BibTeX RDF |
|
29 | Toni Cortes, Jesús Labarta |
Linear Aggressive Prefetching: A Way to Increase the Performance of Cooperative Caches. |
IPPS/SPDP |
1999 |
DBLP DOI BibTeX RDF |
|
29 | Amir Roth, Andreas Moshovos, Gurindar S. Sohi |
Dependance Based Prefetching for Linked Data Structures. |
ASPLOS |
1998 |
DBLP DOI BibTeX RDF |
|
29 | Vatsa Santhanam, Edward H. Gornish, Wei-Chung Hsu |
Data Prefetching on the HP PA-8000. |
ISCA |
1997 |
DBLP DOI BibTeX RDF |
|
29 | Saniya Ben Hassen |
Prefetching Strategies for Partitioned Shared Objects. |
HICSS (1) |
1996 |
DBLP DOI BibTeX RDF |
|
29 | Peter J. Varman, Rakesh M. Verma |
Tight Bounds for Prefetching and Buffer Management Algorithms for Parallel I/O Systems. |
FSTTCS |
1996 |
DBLP DOI BibTeX RDF |
|
29 | Se-Jin Hwang, Myong-Soon Park |
Efficient Software Data Prefetching for a Loop with Large Arrays. |
Euro-Par |
1995 |
DBLP DOI BibTeX RDF |
|
29 | Carsten Andreas Gerlhof, Alfons Kemper |
A Multi-Threaded Architecture for Prefetching in Object Bases. |
EDBT |
1994 |
DBLP DOI BibTeX RDF |
|
29 | Yvon Jégou, Olivier Temam |
Speculative Prefetching. |
International Conference on Supercomputing |
1993 |
DBLP DOI BibTeX RDF |
|
28 | Hsiao-Hsi Wang, Kuan-Ching Li, Ssu-Hsuan Lu, Chun-Chieh Yang, Jean-Luc Gaudiot |
Design and Implementation of an Agent Home Scheme Strategy for Prefetch-Based DSM Systems. |
Int. J. Parallel Program. |
2008 |
DBLP DOI BibTeX RDF |
Prefetching strategy, Home-based, Cluster computing, DSM systems |
28 | Sang Seok Lim, Kyu Ho Park |
TPF: TCP Plugged File System for Efficient Data Delivery over TCP. |
IEEE Trans. Computers |
2007 |
DBLP DOI BibTeX RDF |
Operating systems, TCP, file systems, disk scheduling, data prefetching |
28 | Adam Serbinski, Abdolreza Abhari |
Improving the delivery of multimedia embedded in HTML over HTTP on wireless networks. |
MobiMedia |
2007 |
DBLP DOI BibTeX RDF |
wireless networks, prefetching, web server, multimedia networking, network latency |
28 | Adam Serbinski, Abdolreza Abhari |
Improving the delivery of multimedia embedded in web pages. |
ACM Multimedia |
2007 |
DBLP DOI BibTeX RDF |
embedded objects, web multimedia, prefetching, network Latency |
28 | Elina Vartiainen, Virpi Roto, Andrei Popescu 0003 |
Auto-update: a concept for automatic downloading of web content to a mobile device. |
Mobility Conference |
2007 |
DBLP DOI BibTeX RDF |
internet content prefetching, context-aware computing, mobile internet |
28 | Hsiao-Hsi Wang, Kuan-Ching Li, Kuo-Jen Wang, Ssu-Hsuan Lu |
On the Design and Implementation of an Effective Prefetch Strategy for DSM Systems. |
J. Supercomput. |
2006 |
DBLP DOI BibTeX RDF |
prefetching strategy, home-based, page hit, shared address space, DSM systems |
28 | Won Woo Ro, Stephen P. Crago, Alvin M. Despain, Jean-Luc Gaudiot |
Design and evaluation of a hierarchical decoupled architecture. |
J. Supercomput. |
2006 |
DBLP DOI BibTeX RDF |
Memory latency hiding, Parallel architecture, Multithreading, Instruction level parallelism, Speculative execution, Data prefetching, Decoupled architectures |
28 | Ilya Ganusov, Martin Burtscher |
Efficient emulation of hardware prefetchers via event-driven helper threading. |
PACT |
2006 |
DBLP DOI BibTeX RDF |
prefetching, multi-core architectures, helper threading |
28 | Mahesh Kallahalla, Peter J. Varman |
Optimal Read-Once Parallel Disk Scheduling. |
Algorithmica |
2005 |
DBLP DOI BibTeX RDF |
Scheduling, Caching, Prefetching, Parallel I/O, External memory algorithms |
28 | Lei Shi 0001, Zhimin Gu, Lin Wei, Yun Shi |
Quantitative Analysis of Zipf's Law on Web Cache. |
ISPA |
2005 |
DBLP DOI BibTeX RDF |
Zipf’s law, Top-N, Web Cache, Web Prefetching |
28 | Jeffrey P. Rybczynski, Darrell D. E. Long, Ahmed Amer |
Expecting the unexpected: adaptation for predictive energy conservation. |
StorageSS |
2005 |
DBLP DOI BibTeX RDF |
disk spin-down, mobile computing, prediction, power management, prefetching, adaptive policies |
28 | Antonis Hondroulis, Costas Harizakis, Peter Triantafillou |
Optimal Cache Memory Exploitation for Continuous Media: To Cache or to Prefetch? |
Multim. Tools Appl. |
2004 |
DBLP DOI BibTeX RDF |
simulation, modeling, caching, statistical analysis, prefetching, video streams, multimedia servers |
28 | Ali-Reza Adl-Tabatabai, Richard L. Hudson, Mauricio J. Serrano, Sreenivas Subramoney |
Prefetch inection based on hardware monitoring and object metadata. |
PLDI |
2004 |
DBLP DOI BibTeX RDF |
virtual machines, garbage collection, prefetching, compiler optimization, cache misses, profile-guided optimization |
28 | Michel Dubois 0001 |
Fighting the memory wall with assisted execution. |
Conf. Computing Frontiers |
2004 |
DBLP DOI BibTeX RDF |
prefetching, cache memories, superscalar processors, simultaneous multithreading, latency tolerance |
28 | Huiyang Zhou, Thomas M. Conte |
Enhancing memory level parallelism via recovery-free value prediction. |
ICS |
2003 |
DBLP DOI BibTeX RDF |
recovery-free value prediction, prefetching, memory disambiguation, memory level parallelism |
28 | Youfeng Wu |
Efficient Discovery of Regular Stride Patterns in Irregular Programs. |
PLDI |
2002 |
DBLP DOI BibTeX RDF |
integrated stride and frequency profiling, phased multi-strided loads, strongly single-strided loads, performance evaluation, data prefetching |
28 | Glenn Reinman, Brad Calder, Todd M. Austin |
Optimizations Enabled by a Decoupled Front-End Architecture. |
IEEE Trans. Computers |
2001 |
DBLP DOI BibTeX RDF |
fetch architectures, branch prediction, Decoupled architectures, instruction prefetching |
28 | Windsor W. Hsu, Alan Jay Smith, Honesty C. Young |
I/O reference behavior of production database workloads and the TPC benchmarks - an analysis at the logical level. |
ACM Trans. Database Syst. |
2001 |
DBLP DOI BibTeX RDF |
TPC benchmarks, production database workloads, reference behavior, caching, locality, prefetching, workload characterization, I/O, sequentiality |
28 | Claude Limousin, Julien Sébot, Alexis Vartanian, Nathalie Drach-Temam |
Improving 3D geometry transformations on a simultaneous multithreaded SIMD processor. |
ICS |
2001 |
DBLP DOI BibTeX RDF |
SIMD extensions, cache memories, parallel rendering, data prefetching, SMT, applications specific architectures |
28 | K. Selçuk Candan, Eric Lemar, V. S. Subrahmanian |
View Management in Multimedia Databases. |
VLDB J. |
2000 |
DBLP DOI BibTeX RDF |
View management, Result visualization/presentation, Interactivity, Prefetching, Multimedia databases |
28 | Marcelo J. Weinberger, Erik Ordentlich |
On-Line Decision Making for a Class of Loss Functions via Lempel-Ziv Parsing. |
Data Compression Conference |
2000 |
DBLP DOI BibTeX RDF |
Lempel-Ziv, sequential decision problem, prediction, prefetching, on-line algorithms, incremental parsing |
28 | Vijay S. Pai, Parthasarathy Ranganathan, Hazim Abdel-Shafi, Sarita V. Adve |
The Impact of Exploiting Instruction-Level Parallelism on Shared-Memory Multiprocessors. |
IEEE Trans. Computers |
1999 |
DBLP DOI BibTeX RDF |
performance evaluation, instruction-level parallelism, Shared-memory multiprocessors, software prefetching |
28 | Pedro Trancoso, Josep Torrellas |
Cache Optimization for Memory-Resident Decision Support Commercial Workloads. |
ICCD |
1999 |
DBLP DOI BibTeX RDF |
Memory-Resident, Data Blocking, Database, Decision Support System, Computer Architecture, Prefetching, Cache Optimization |
28 | Chi-Hung Chi, Jun-Li Yuan |
Load-Balancing Branch Target Cache and Prefetch Buffer. |
ICCD |
1999 |
DBLP DOI BibTeX RDF |
branch target cache, load-balancing, Memory, prefetching, instruction cache |
26 | Tolga Büyüktanir, Mehmet S. Aktas |
Mobile Prefetching and Web Prefetching: A Systematic Literature Review. |
ICCSA (Workshops 3) |
2022 |
DBLP DOI BibTeX RDF |
|
26 | Jinhyun So, Mi Lu |
Buffer-referred Data Prefetching: An Effective Approach to Coverage-Driven Prefetching. |
ICAC |
2021 |
DBLP DOI BibTeX RDF |
|
26 | Kai Zhang 0017, Chao Tian 0002 |
Fundamental Limits of Coded Caching: From Uncoded Prefetching to Coded Prefetching. |
IEEE J. Sel. Areas Commun. |
2018 |
DBLP DOI BibTeX RDF |
|
26 | Kai Zhang 0017, Chao Tian 0002 |
From Uncoded Prefetching to Coded Prefetching in Coded Caching Systems. |
ISIT |
2018 |
DBLP DOI BibTeX RDF |
|
26 | Chao Tian 0002, Kai Zhang 0017 |
From Uncoded Prefetching to Coded Prefetching in Coded Caching. |
CoRR |
2017 |
DBLP BibTeX RDF |
|
26 | Jyoti 0001, A. K. Sharma, Amit Goel |
A Framework for Prefetching Relevant Web Pages using Predictive Prefetching Engine (PPE) |
CoRR |
2011 |
DBLP BibTeX RDF |
|
26 | Chi-Keung Luk, Todd C. Mowry |
Cooperative Prefetching: Compiler and Hardware Support for Effective Instruction Prefetching in Modern Processors. |
MICRO |
1998 |
DBLP DOI BibTeX RDF |
|
26 | Alokika Dash, Brian Demsky |
Integrating Caching and Prefetching Mechanisms in a Distributed Transactional Memory. |
IEEE Trans. Parallel Distributed Syst. |
2011 |
DBLP DOI BibTeX RDF |
prefetching, Distributed shared memory, software transactional memory |
26 | Thomas F. Wenisch, Michael Ferdman, Anastasia Ailamaki, Babak Falsafi, Andreas Moshovos |
Making Address-Correlated Prefetching Practical. |
IEEE Micro |
2010 |
DBLP DOI BibTeX RDF |
address-correlated prefetching, cache memories |
26 | Antonio Flores, Juan L. Aragón, Manuel E. Acacio |
Energy-Efficient Hardware Prefetching for CMPs Using Heterogeneous Interconnects. |
PDP |
2010 |
DBLP DOI BibTeX RDF |
tiled chip-multiprocessor, heterogeneous on-chip interconnection network, prefetching, energy-efficient architectures, parallel scientific applications |
26 | Hui-Dong Zhu, Ye Li |
Constant Distance Prefetching for Linked Data Structure Based on CMP. |
FCST |
2010 |
DBLP DOI BibTeX RDF |
Linked data structure, multi-core and multi-threading, data prefetching, cache misses, memory wall |
26 | Adam Manzanares, Xiaojun Ruan, Shu Yin, Mais Nijim, Wei Luo, Xiao Qin 0001 |
Energy-Aware Prefetching for Parallel Disk Systems: Algorithms, Models, and Evaluation. |
NCA |
2009 |
DBLP DOI BibTeX RDF |
energy-efficiency, prefetching, storage systems |
26 | Yang Luo, King Tin Lam, Cho-Li Wang |
Path-Analytic Distributed Object Prefetching. |
ISPAN |
2009 |
DBLP DOI BibTeX RDF |
object sharing, object access patterns, distributed Java virtual machine, prefetching, distributed shared memory |
26 | Antonis Sidiropoulos 0001, George Pallis 0001, Dimitrios Katsaros 0001, Konstantinos Stamos, Athena Vakali, Yannis Manolopoulos |
Prefetching in Content Distribution Networks via Web Communities Identification and Outsourcing. |
World Wide Web |
2008 |
DBLP DOI BibTeX RDF |
data dissemination techniques on the web, internet and web-based, content distribution networks, web communities, web data mining, web prefetching |
Displaying result #301 - #400 of 2122 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ >>] |
|