The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for reconfigurable with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1971-1980 (17) 1981-1983 (19) 1984-1985 (19) 1986 (15) 1987 (18) 1988 (32) 1989 (25) 1990 (42) 1991 (49) 1992 (53) 1993 (70) 1994 (73) 1995 (112) 1996 (124) 1997 (132) 1998 (218) 1999 (234) 2000 (382) 2001 (285) 2002 (477) 2003 (561) 2004 (661) 2005 (789) 2006 (1067) 2007 (976) 2008 (1061) 2009 (868) 2010 (883) 2011 (832) 2012 (732) 2013 (802) 2014 (786) 2015 (791) 2016 (704) 2017 (752) 2018 (793) 2019 (806) 2020 (922) 2021 (1159) 2022 (1243) 2023 (1212) 2024 (305)
Publication types (Num. hits)
article(7338) book(22) data(1) incollection(68) inproceedings(13188) phdthesis(411) proceedings(73)
Venues (Conferences, Journals, ...)
CoRR(917) FPL(904) ReConFig(900) ARC(699) ACM Trans. Reconfigurable Tech...(505) ReCoSoC(411) IEEE Access(378) FCCM(372) ERSA(343) IPDPS(303) ISCAS(285) Int. J. Reconfigurable Comput.(268) DATE(253) IEEE Trans. Very Large Scale I...(225) FPT(222) FPGA(200) More (+10 of total 2266)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5390 occurrences of 2060 keywords

Results
Found 21101 publication records. Showing 21101 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
26Klaus Danne, Marco Platzner An EDF schedulability test for periodic tasks on reconfigurable hardware devices. Search on Bibsonomy LCTES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF scheduling, FPGA, real-time, reconfigurable hardware, periodic tasks
26Thilo Streichert, Christian Strengert, Christian Haubelt, Jürgen Teich Dynamic task binding for hardware/software reconfigurable networks. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF online hardware/software partitioning, fault-tolerance, reconfigurable system
26Takao Toi, Noritsugu Nakamura, Yoshinosuke Kato, Toru Awashima, Kazutoshi Wakabayashi, Li Jing High-level synthesis challenges and solutions for a dynamically reconfigurable processor. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF high-level synthesis, dynamic reconfiguration, reconfigurable processor
26Mary Mehrnoosh Eshaghian-Wilner, Alexander Khitun, Shiva Navab, Kang L. Wang A nano-scale reconfigurable mesh with spin waves. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF nano-scale architectures, reconfigurable mesh, spin waves
26Sebastian Wallner Micro-Task Processing in Heterogeneous Reconfigurable Systems. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF reconfigurable heterogeneous architectures, configuration instructions, system-on-chip, signal processing, descriptors, parallel processing system
26Phan Cong Vinh, Jonathan P. Bowen Continuity aspects of embedded reconfigurable computing. Search on Bibsonomy Innov. Syst. Softw. Eng. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Reconfigurable computing Software development, Embedded systems, Formal methods, Dynamic reconfiguration
26Florian Dittmann 0001, Markus Heberling Placement of intermodule connections on partially reconfigurable devices. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF intermodule communication, reconfigurable computing, run-time reconfiguration
26Soheil Ghiasi, Hyun J. Moon, Ani Nahapetian, Majid Sarrafzadeh Collaborative and Reconfigurable Object Tracking. Search on Bibsonomy J. Supercomput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF embedded systems, feature selection, reconfigurable computing, object tracking, dynamic adaptation
26Linda Kaouane, Mohamed Akil, Thierry Grandpierre, Yves Sorel A Methodology to Implement Real-Time Applications onto Reconfigurable Circuits. Search on Bibsonomy J. Supercomput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF reconfigurable circuits, optimizations, FPGA, heuristics, rapid prototyping, graph transformations, circuit synthesis
26Iouliia Skliarova, António de Brito Ferrari Reconfigurable Hardware SAT Solvers: A Survey of Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, reconfigurable computing, hardware acceleration, Boolean satisfiability
26Mahmoud Méribout, Masato Motomura A Combined Approach to High-Level Synthesis for Dynamically Reconfigurable Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Dynamic reconfigurable logic, scheduling, partitioning, allocation, communication cost
26Ian Robertson, James Irvine 0001 A design flow for partially reconfigurable hardware. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF dynamically reconfigurable logic (DRL), FPGA, power estimation, run-time reconfiguration (RTR), Viterbi decoder, configuration control
26Alexander Thomas, Thomas Zander, Jürgen Becker 0001 Adaptive DMA-based I/O interfaces for data stream handling in multi-grained reconfigurable hardware architectures. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF HoneyComb architecture, adaptive I/O interfaces, data stream handling, multi-grained reconfigurable hardware architecture
26Rodrigo Soares, Ivan Saraiva Silva, Arnaldo Azevedo When reconfigurable architecture meets network-on-chip. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multiprocessor, system on chip, network on chip, reconfigurable architecture
26Mauricio Ayala-Rincón, Ricardo P. Jacobi, Luis Gustavo A. Carvalho, Carlos H. Llanos, Reiner W. Hartenstein Modeling and prototyping dynamically reconfigurable systems for efficient computation of dynamic programming methods by rewriting-logic. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF configware, morphware, reconfigurable systolic arrays, term rewriting systems (TRS), dynamic programming, rewriting-logic
26Fredy Rivera, Marcos Sánchez-Élez, Milagros Fernández, Román Hermida, Nader Bagherzadeh Efficient mapping of hierarchical trees on coarse-grain reconfigurable architectures. Search on Bibsonomy CODES+ISSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multimedia, computer graphics, reconfigurable architectures, SIMD, hierarchical trees
26François-Xavier Standaert, Gilles Piret, Gaël Rouvroy, Jean-Jacques Quisquater, Jean-Didier Legat ICEBERG : An Involutional Cipher Efficient for Block Encryption in Reconfigurable Hardware. Search on Bibsonomy FSE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF block cipher design, side-channel resistance, reconfigurable hardware, efficient implementations
26Girish Venkataramani, Walid A. Najjar, Fadi J. Kurdahi, Nader Bagherzadeh, A. P. Wim Böhm, Jeffrey Hammes Automatic compilation to a coarse-grained reconfigurable system-opn-chip. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF compilers, Reconfigurable computing, SIMD
26Yuanqing Guo, Gerard J. M. Smit, Hajo Broersma, Paul M. Heysters A graph covering algorithm for a coarse grain reconfigurable system. Search on Bibsonomy LCTES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF template generation, compiler, reconfigurable system
26Jong-eun Lee, Kiyoung Choi, Nikil D. Dutt An algorithm for mapping loops onto coarse-grained reconfigurable architectures. Search on Bibsonomy LCTES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ALU array, memory bandwidth utilization, coarse-grained reconfigurable architecture, mapping algorithm
26Octavian Cret, Kalman Pusztai, Cristian Vancea, Balint Szente CREC: A Novel Reconfigurable Computing Design Methodology. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF general-purpose reconfigurable systems, Hardware / Software CoDesign, multiple execution units, FPGA, VHDL, RISC, Instruction Level Parallelism (ILP)
26Apostolos Dollas, Christopher Kachris, Nikolaos G. Bourbakis Performance Analysis of Fixed, Reconfigurable, and Custom Architectures for the SCAN Image and Video Encryption Algorithm. Search on Bibsonomy FCCM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA, Architecture, Reconfigurable, Encryption
26Juanjo Noguera, Rosa M. Badia System-level power-performance trade-offs in task scheduling for dynamically reconfigurable architectures. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF reconfigurable computing, dynamic scheduling, clock-gating, frequency scaling, power-performance trade-offs
26Mauricio Ayala-Rincón, Rodrigo Borges Nogueira, Carlos H. Llanos, Ricardo P. Jacobi, Reiner W. Hartenstein Efficient Computation of Algebraic Operations over Dynamically Reconfigurable Systems Specified by Rewriting-Logic Environments. Search on Bibsonomy SCCC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Reconfigurable Systolic Arrays, Fast Fourier Transform, Rewriting-Logic, Term Rewriting Systems
26Shi-Jinn Horng, Horng-Ren Tsai, Yi Pan 0001, Jennifer Seitzer Optimal Algorithms for the Channel-Assignment Problem on a Reconfigurable Array of Processors with Wider Bus Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF minimum coloring problem, reconfigurable array of processors with wider bus networks, parallel algorithm, interval graph, list ranking, integer sorting, Channel-assignment problem
26Yosi Ben-Asher, Esti Stein Basic Algorithms for the Asynchronous Reconfigurable Mes. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF APRAM, Asynchronous, Reconfigurable mesh
26Debashis Panigrahi, Clark N. Taylor, Sujit Dey A Hardware/Software Reconfigurable Architecture for Adaptive Wireless Image Communication. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Adaptive, image compression, reconfigurable architecture, wireless multimedia
26Jorge E. Carrillo, Paul Chow The effect of reconfigurable units in superscalar processors. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF OneChip, superscalar processors, reconfigurable processors
26Lev Kirischian Optimization of Parallel Task Execution on the Adaptive Reconfigurable Group Organized Computing System. Search on Bibsonomy PARELEC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Reconfigurable architecture: FPGA, Architecture selection graph, Graph arrangement
26Christian Siemers, Sybille Siemers Reconfigurable Computing Based on Universal Configurable Blocks-A New Approach for Supporting Performance- and Realtime-Dominated Applications. Search on Bibsonomy ACAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Block-based Instruction Set Architecture, Realtime-dominated Environment, Reconfigurable Computing
26Holger Blume, Hans-Martin Blüthgen, Christiane Henning, Patrick Osterloh Integration of High-Performance ASICs into Reconfigurable Systems Providing Additional Multimedia Functionality. Search on Bibsonomy ASAP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF reconfigurable coprocessor board, multimedia, VLSI, DSP, digital signal processing, ASIC, CMOS
26Virginia C. Carneiro de Paula, George R. Ribeiro-Justo, Paulo R. F. Cunha Specifying and Verifying Reconfigurable Software Architectures. Search on Bibsonomy PDSE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF reconfigurable software architecture, software architecture, formal specification, dynamic software architecture
26João M. P. Cardoso, Horácio C. Neto Macro-Based Hardware Compilation of Java(tm) Bytecodes into a Dynamic Reconfigurable Computing System. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF FPGAs, Reconfigurable Computing, Behavioral Synthesis, Hardware Compilation
26Kiarash Barzagan, Majid Sarrafzadeh Fast Online Placement for Reconfigurable Computing. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF fast placement, CAD algorithms, efficient algorithms, Reconfigurable systems, FPGA architecture
26Jason R. Hess, David C. Lee, Scott J. Harper, Mark T. Jones, Peter M. Athanas Implementation and Evaluation of a Prototype Reconfigurable Router. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Stream-based, Networking, Reconfigurable, Hardware, Active networks
26Kiran Bondalapati, Viktor K. Prasanna Dynamic Precision Management for Loop Computations on Reconfigurable Architectures. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Reconfigurable Computing, Precision, Loops
26Werner Erhard, Andreas Reinsch, Torsten Schober First Steps towards a Reconfigurable Asynchronous System. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Petri nets, design methodology, asynchronous circuits, reconfigurable hardware
26Darren C. Cronquist, Chris Fisher, Miguel E. Figueroa, Paul Franklin, Carl Ebeling Architecture Design of Reconfigurable Pipelined Datapaths. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF High-speed computation, Pipelining, Signal processing, Reconfigurable architectures, Configurable computing
26José Alberto Fernández-Zepeda, Ramachandran Vaidyanathan, Jerry L. Trahan Scaling Simulation of the Fusing-Restricted Reconfigurable Mesh. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF scaling simulation, simulation overhead, concurrent write rules, parallel algorithms, Reconfigurable mesh, model simulation
26Hossam A. ElGindy, Lachlan Wetherall A Simple Voronoi Diagram Algorithm for a Reconfigurable Mesh. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF reconfigurable mesh architectures, broadcasting buses, Parallel algorithms, Voronoi diagram, geometric algorithms
26Ju-wook Jang, Heonchul Park, Viktor K. Prasanna An Optimal Multiplication Algorithm on Reconfigurable Mesh. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF area-time trade off, optimal algorithm, VLSI architecture, reconfigurable mesh, Integer multiplication
26M. Manzur Murshed, Richard P. Brent Constant Time Algorithms for Computing the Contour of Maximal Elements on the Reconfigurable Mesh. Search on Bibsonomy ICPADS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Parallel algorithm, Computational geometry, Reconfigurable mesh
26Ten-Hwang Lai, Ming-Jye Sheng Constructing Euclidean Minimum Spanning Trees and All Nearest Neighbors on Reconfigurable Meshes. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Parallel algorithms, computational geometry, reconfigurable meshes
26Toshio Fukuda, Seiya Nakagawa Approach to the dynamically reconfigurable robotic system. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF DRRS (dynamically reconfigurable robotic system), cell/module structure, reorganization of the optimal manipulator configurations, algorithm for approach control between cells
26Ricardo S. Ferreira 0001, Marcone Laure, Antonio Carlos Schneider Beck, Thiago Lo, Mateus B. Rutzig, Luigi Carro A low cost and adaptable routing network for reconfigurable systems. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Osman Hasan, Naeem Abbasi, Sofiène Tahar Formal Probabilistic Analysis of Stuck-at Faults in Reconfigurable Memory Arrays. Search on Bibsonomy IFM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Mateus B. Rutzig, Antonio Carlos Schneider Beck, Luigi Carro Dynamically Adapted Low Power ASIPs. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Kingshuk Karuri, Anupam Chattopadhyay, Xiaolin Chen, David Kammler, Ling Hao, Rainer Leupers, Heinrich Meyr, Gerd Ascheid A Design Flow for Architecture Exploration and Implementation of Partially Reconfigurable Processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Mitchell J. Myjak, José G. Delgado-Frias A Medium-Grain Reconfigurable Architecture for DSP: VLSI Design, Benchmark Mapping, and Performance. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Madhura Purnaprajna, Christoph Puttmann, Mario Porrmann Power Aware Reconfigurable Multiprocessor for Elliptic Curve Cryptography. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Cao Liang, Xinming Huang 0001 SmartCell: A power-efficient reconfigurable architecture for data streaming applications. Search on Bibsonomy SiPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Ming-Chiuan Shiu, Hou-Tsan Lee, Feng-Li Lian, Li-Chen Fu Actuation Design of Two-Dimensional Self-Reconfigurable Robots. Search on Bibsonomy SUTC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Robot, Actuation, self-reconfiguration
26Wenyin Fu, Katherine Compton Scheduling Intervals for Reconfigurable Computing. Search on Bibsonomy FCCM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Daesun Oh, Keshab K. Parhi Area efficient controller design of barrel shifters for reconfigurable LDPC decoders. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Gian Carlo Cardarilli, Luca Di Nunzio, Marco Re, Alberto Nannarelli ADAPTO: full-adder based reconfigurable architecture for bit level operations. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Foad Lotfifar, Hadi Shahriar Shahhoseini Performance modeling of partially reconfigurable computing systems. Search on Bibsonomy AICCSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Jyh-Cheng Chen, Jui-Hung Yeh, Shao-Hsiu Hung, Fu-Cheng Chen, Li-Wei Lin, Yi-Wen Lan Reconfigurable architecture and mobility management for next-generation wireless IP networks. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Mateusz Majer, Jürgen Teich, Ali Ahmadinia, Christophe Bobda The Erlangen Slot Machine: A Dynamically Reconfigurable FPGA-based Computer. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGA-based computer, rekonfiguration manager, platform, relocation, partiall dynamic reconfiguration, ESM
26Jürgen Becker 0001, Adam Donlin, Michael Hübner 0001 New tool support and architectures in adaptive reconfigurable computing. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Ying Wang 0032, Weinan Chen, Xiao-Wei Wang, Hong-Jun You, Chenglian Peng Enabling Reconfigurable SoC in Multimedia Processing. Search on Bibsonomy CIT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Syed Sajjad Rizvi, Syed N. Hyder, Aasia Riasat Performance Model for a Reconfigurable Coprocessor. Search on Bibsonomy SCSS (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Kang Sun, Xuezeng Pan, Lingdi Ping A Reconfigurable Computing Engine for Wavelet Transforms. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Wei Li 0131, Zibin Dai, Tao Chen 0047, Tao Meng, Xuan S. Yang Design and Implementation of a High-Speed Reconfigurable Modular Arithmetic Unit. Search on Bibsonomy APPT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Florian Dittmann 0001 Algorithmic Skeletons for the Programming of Reconfigurable Systems. Search on Bibsonomy SEUS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Zhuo Ruan, Yuzhang Han, Hongbo Cai, Shengzhen Jin, Jianguo Han A Dynamically Partial-reconfigurable FPGA-based Architecture for Data Processing on Space Solar Telescope. Search on Bibsonomy SIES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Guochang Zhou, Xubang Shen An Architecture of Dynamically Reconfigurable Processing Unit(RPU). Search on Bibsonomy ICPP Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Onur Turkcu, Suresh Subramaniam 0001 Blocking Analysis of Limited-Reconfigurable Optical Networks. Search on Bibsonomy ICCCN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Afshin Niktash, Hooman Parizi, Nader Bagherzadeh Application of a Heterogeneous Reconfigurable Architecture to OFDM Wireless Systems. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Marco Lanuzza, Stefania Perri, Pasquale Corsonello, Martin Margala A New Reconfigurable Coarse-Grain Architecture for Multimedia Applications. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Ted Huffmire, Brett Brotherton, Gang Wang 0015, Timothy Sherwood, Ryan Kastner, Timothy E. Levin, Thuy D. Nguyen, Cynthia E. Irvine Moats and Drawbridges: An Isolation Primitive for Reconfigurable Hardware Based Systems. Search on Bibsonomy S&P The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Phan Cong Vinh, Jonathan P. Bowen A Formal Approach to Aspect-Oriented Modular Reconfigurable Computing. Search on Bibsonomy TASE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Evangelos F. Stefatos, Tughrul Arslan, Didier Keymeulen, Ian Ferguson Autonomous Realization of Boeing/JPL Sensor Electronics based on Reconfigurable System-on-Chip Technology. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Ravindra V. Kshirsagar, Rajendra M. Patrikar Design of a Reconfigurable Multiprocessor Core for Higher Performance and Reliability of Embedded Systems. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Kentaro Nakahara, Shin'ichi Kouyama, Tomonori Izumi, Hiroyuki Ochi, Yukihiro Nakamura Autonomous-repair cell for fault tolerant dynamic-reconfigurable devices. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Matthew Smith 0001, B. Klose, Ralph Ewerth, Thomas Friese, Michael Engel, Bernd Freisleben Runtime Integration of Reconfigurable Hardware in Service-Oriented Grids. Search on Bibsonomy ICWS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26George Ferizis, Hossam A. ElGindy Mapping Recursive Functions to Reconfigurable Hardware. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Goncalo M. de Matos, Horácio C. Neto On Reconfigurable Architectures for Efficient Matrix Inversion. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Michael Ullmann, Jürgen Becker 0001 Communication concept for adaptive intelligent run-time systems supporting distributed reconfigurable embedded systems. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Maya B. Gokhale RAW keynote 1: the outer limits: reconfigurable computing in space and in orbit. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Nikolaos Vassiliadis, George Theodoridis, Spiridon Nikolaidis 0001 An automated development framework for a RISC processor with reconfigurable instruction set extensions. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Wing On Fung, Tughrul Arslan A stochastic multi-objective algorithm for the design of high performance reconfigurable architectures. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Heather Quinn, Debayan Bhaduri, Christof Teuscher, Paul S. Graham, Maya B. Gokhale The STAR-C Truth: Analyzing Reconfigurable Supercomputing Reliability. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Michalis D. Galanis, Grigoris Dimitroulakos, Constantinos E. Goutis Mapping DSP applications on processor/coarse-grain reconfigurable array architectures. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26M. C. Andrade, Célio Estevan Morón, José Hiroki Saito Reconfigurable System with Virtuoso Real-Time Kernel and TEV Environment. Search on Bibsonomy SBAC-PAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Rami S. Abielmona, Voicu Groza, Arkan Khalaf Run-Time Reconfigurable Built-in-Self-Test. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Tomoyuki Saiki, Hideyuki Ichihara, Tomoo Inoue A Reconfigurable Embedded Decompressor for Test Compression. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Manman Peng, Yuming Wang A Self-Tuning Algorithm for Managing Reconfigurable Cache. Search on Bibsonomy PDCAT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Yoonjin Kim, Mary Kiemb, Chulsoo Park, Jinyong Jung, Kiyoung Choi Resource Sharing and Pipelining in Coarse-Grained Reconfigurable Architecture for Domain-Specific Optimization. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Arjun K. Pai, Khaled Benkrid, Danny Crookes Embedded Reconfigurable DCT Architectures Using Adder-Based Distributed Arithmetic. Search on Bibsonomy CAMP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Zhenyu Liu, Tughrul Arslan, Sami Khawam, Iain Lindsay A high performance synthesisable unsymmetrical reconfigurable fabric for heterogeneous finite state machines. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Cheng Zhan, Tughrul Arslan, Sami Khawam, Iain Lindsay A domain specific reconfigurable Viterbi fabric for system-on-chip applications. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Markus P. J. Fromherz, Lara S. Crawford, Haitham A. Hindi Coordinated Control for Highly Reconfigurable Systems. Search on Bibsonomy HSCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Hadi Esmaeilzadeh, Saeed Shamshiri, Pooya Saeedi, Zainalabedin Navabi ISC: Reconfigurable Scan-Cell Architecture for Low Power Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Michalis D. Galanis, Athanasios Milidonis, George Theodoridis, Dimitrios Soudris, Constantinos E. Goutis A Framework for Partitioning Computational Intensive Applications in Hybrid Reconfigurable Platforms. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Sajid Baloch, Imran Ahmed 0001, Tughrul Arslan Domain-Specific Reconfigurable Array Targeting Discrete Wavelet Transform for System-on-Chip Applications. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Brian F. Veale, John K. Antonio, Monte P. Tull Configuration Steering for a Reconfigurable Superscalar Processor. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Claudio Mucci, Fabio Campi, Antonio Deledda, Alberto Fazzi, Mirco Ferri, Massimo Bocchi A Cycle-Accurate ISS for a Dynamically Reconfigurable Processor Architecture. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Michalis D. Galanis, Athanasios Milidonis, George Theodoridis, Dimitrios Soudris, Constantinos E. Goutis A methodology for partitioning DSP applications in hybrid reconfigurable systems. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Yen-Tai Lai, Hsin-Ya Lai, Chia-Nan Yeh Placement for the reconfigurable datapath architecture. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Michalis D. Galanis, Grigoris Dimitroulakos, Costas E. Goutis Speedups from Partitioning Critical Software Parts to Coarse-Grain Reconfigurable Hardware. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 21101 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license