The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for retiming with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1993 (31) 1994 (23) 1995 (32) 1996 (29) 1997 (23) 1998 (23) 1999 (29) 2000 (16) 2001 (17) 2002 (21) 2003 (25) 2004 (32) 2005 (25) 2006 (19) 2007 (18) 2008 (18) 2009-2010 (22) 2011-2012 (16) 2013-2014 (16) 2015-2016 (18) 2017-2019 (19) 2020-2023 (9)
Publication types (Num. hits)
article(153) incollection(4) inproceedings(321) phdthesis(3)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 451 occurrences of 214 keywords

Results
Found 481 publication records. Showing 481 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Abhishek Ranjan, Ankur Srivastava 0001, V. Karnam, Majid Sarrafzadeh Layout aware retiming. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Abdallah Tabbara, Bassam Tabbara, Robert K. Brayton, A. Richard Newton Integration of retiming with architectural floorplanning. Search on Bibsonomy Integr. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Tzu-Chieh Tien, Youn-Long Lin Performance-optimal clustering with retiming for sequential circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Jason Cong, Sung Kyu Lim Physical Planning with Retiming. Search on Bibsonomy ICCAD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Zhan Yu, Kei-Yong Khoo, Alan N. Willson Jr. Joint module selection and retiming with carry-save representation. Search on Bibsonomy EUSIPCO The full citation details ... 2000 DBLP  BibTeX  RDF
18Naresh Maheshwari, Sachin S. Sapatnekar Retiming control logic. Search on Bibsonomy Integr. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Timothy W. O'Neil, Sissades Tongsima, Edwin Hsing-Mean Sha Extended retiming: optimal scheduling via a graph-theoretical approach. Search on Bibsonomy ICASSP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Aarti Gupta, Pranav Ashar, Sharad Malik Exploiting Retiming in a Guided Simulation Based Validation Methodology. Search on Bibsonomy CHARME The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Margarida F. Jacome, Gustavo de Veciana, Cagdas Akturan Resource constrained dataflow retiming heuristics for VLIW ASIPs. Search on Bibsonomy CODES The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Xun Liu, Marios C. Papaefthymiou, Eby G. Friedman Maximizing Performance by Retiming and Clock Skew Scheduling. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Peichen Pan Performance-Driven Integration of Retiming and Resynthesis. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Abdallah Tabbara, Robert K. Brayton, A. Richard Newton Retiming for DSM with Area-Delay Trade-Offs and Delay Constraints. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Jason Cong, Honching Li, Chang Wu Simultaneous Circuit Partitioning/Clustering with Retiming for Performance Optimization. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Klaus Eckl, Jean Christophe Madre, Peter Zepter, Christian Legl A Practical Approach to Multiple-Class Retiming. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Karam S. Chatha, Ranga Vemuri RECOD: a retiming heuristic to optimize resource and memory utilization in HW/SW codesigns. Search on Bibsonomy CODES The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Soha Hassoun Fine Grain Incremental Rescheduling Via Architectural Retiming. Search on Bibsonomy ISSS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Marios C. Papaefthymiou Asymptotically efficient retiming under setup and hold constraints. Search on Bibsonomy ICCAD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Gagan Hasteer, Anmol Mathur, Prithviraj Banerjee Efficient equivalence checking of multi-phase designs using retiming. Search on Bibsonomy ICCAD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Rajeev K. Ranjan 0001, Vigyan Singhal, Fabio Somenzi, Robert K. Brayton On the optimization power of retiming and resynthesis transformations. Search on Bibsonomy ICCAD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Tzu-Chieh Tien, Hsiao-Pin Su, Yu-Wen Tsay, Yih-Chih Chou, Youn-Long Lin Integrating logic retiming and register placement. Search on Bibsonomy ICCAD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Prashant Saxena The Retiming and Routing of VLSI Circuits Search on Bibsonomy 1998   RDF
18Alain Darte, Georges-André Silber, Frédéric Vivien Combining Retiming and Scheduling Techniques for Loop Parallelization and Loop Tiling. Search on Bibsonomy Parallel Process. Lett. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Narendra V. Shenoy Retiming: Theory and practice. Search on Bibsonomy Integr. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Yao-Ping Chen, D. F. Wong 0001 On retiming for FPGA logic module minimization. Search on Bibsonomy Integr. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Peichen Pan Continuous Retiming: Algorithms and Applications. Search on Bibsonomy ICCD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Arvind K. Karandikar, Peichen Pan, C. L. Liu 0001 Optimal Clock Period Clustering for Sequential Circuits with Retiming. Search on Bibsonomy ICCD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Guy Even The Retiming Lemma: A simple proof and applications. Search on Bibsonomy Integr. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Dimitrios Kagaris, Spyros Tragoudas Retiming-Based Partial Scan. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Nelson L. Passos, Edwin Hsing-Mean Sha, Steven C. Bass Optimizing DSP flow graphs via schedule-based multidimensional retiming. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Vojin Zivojnovic, Rainer Schoenen On retiming of multirate DSP algorithms. Search on Bibsonomy ICASSP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Tracy C. Denk, Mayukh Majumdar, Keshab K. Parhi Two-dimensional retiming with low memory requirements. Search on Bibsonomy ICASSP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Marios C. Papaefthymiou, Kumar N. Lalgudi Fixed-phase retiming for low power design. Search on Bibsonomy ISLPED The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Andrea Maggiolo-Schettini, Adriano Peron Retiming Techniques for Statecharts. Search on Bibsonomy FTRTFT The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Huoy-Yu Liou, Ting-Ting Y. Lin, Chung-Kuan Cheng Area Efficient Pipelined Pseudo-Exhaustive Testing with Retiming. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Soha Hassoun, Carl Ebeling Architectural Retiming: Pipelining Latency-Constrained Circuts. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Hiroyuki Yotsuyanagi, Seiji Kajihara, Kozo Kinoshita Retiming for Sequential Circuits with a Specified Initial State and Its Application to Testability Enhancement. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 1995 DBLP  BibTeX  RDF
18Hiroyuki Yotsuyanagi, Seiji Kajihara, Kozo Kinoshita Synthesis for Testability by Sequential Redundancy Removal Using Retiming. Search on Bibsonomy FTCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Leon Stok, Ilan Y. Spillinger, Guy Even Improving initialization through reversed retiming. Search on Bibsonomy ED&TC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18F. Fernández, A. Sánchez Design and Optimization of MuItiphase Clocking Systolic Architectures using Algebraic Retiming Techniques: Extension to Regular Graphs. Search on Bibsonomy PARCO The full citation details ... 1995 DBLP  BibTeX  RDF
18Vigyan Singhal, Robert K. Brayton, Carl Pixley Power-Up Delay for Retiming Digital Circuits. Search on Bibsonomy ISCAS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Samir Lejmi, Bozena Kaminska, Bechir Ayari Retiming for BIST-Sequential Circuits. Search on Bibsonomy ISCAS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Sven Simon 0001, Johann Hofner, Josef A. Nossek Retiming of Circuits Containing Multiplexers. Search on Bibsonomy ISCAS The full citation details ... 1995 DBLP  BibTeX  RDF
18Tolga Soyata, Eby G. Friedman, James H. Mulligan Jr. Monotonicity Constraints on Path Delays for Efficient Retiming with Localized Clock Skew and Variable Register Delay. Search on Bibsonomy ISCAS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Samir Lejmi, Bozena Kaminska, Bechir Ayari Synthesis and Retiming for the Pseudo-Exhaustive BIST of Synchronous Sequential Circuits. Search on Bibsonomy ITC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Vigyan Singhal, Carl Pixley, Richard L. Rudell, Robert K. Brayton The Validity of Retiming Sequential Circuits. Search on Bibsonomy DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Kumar N. Lalgudi, Marios C. Papaefthymiou DELAY: An Efficient Tool for Retiming with Realistic Delay Modeling. Search on Bibsonomy DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Y. G. DeCastelo-Vide-e-Souza, Miodrag Potkonjak, Alice C. Parker Optimal ILP-Based Approach for Throughput Optimization Using Simultaneous Algorithm/Architecture Matching and Retiming. Search on Bibsonomy DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Ireneusz Karkowski, Ralph H. J. M. Otten Retiming Synchronous Circuitry with Imprecise Delays. Search on Bibsonomy DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Rahul B. Deokar, Sachin S. Sapatnekar A Fresh Look at Retiming Via Clock Skew Optimization. Search on Bibsonomy DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Marios C. Papaefthymiou Understanding Retiming Through Maximum Avarage-Delay Cycles. Search on Bibsonomy Math. Syst. Theory The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Vojin Zivojnovic, Sebastian Ritz, Heinrich Meyr Retiming of DSP programs for optimum vectorization. Search on Bibsonomy ICASSP (2) The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Nelson L. Passos, Edwin Hsing-Mean Sha, Steven C. Bass Schedule-Based Multi-Dimensional Retiming on Data Flow Graphs. Search on Bibsonomy IPPS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Albert van der Werf, Jef L. van Meerbergen, Emile H. L. Aarts, Wim F. J. Verhaegh, Paul E. R. Lippens Efficient timing constraint derivation for optimal retiming high speed processing units. Search on Bibsonomy HLSS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Norbert Wehn, Jörg Biesenack, Peter Duzy, T. Langmaier, Michael Münch, Michael Pilsl, Steffen Rumler Scheduling of behavioral VHDL by retiming techniques. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF VHDL
18Joel Grodstein, Eric Lehman, Heather Harkness, Hervé J. Touati, Bill Grundmann Optimal latch mapping and retiming within a tree. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Yao-Ping Chen, D. F. Wong 0001 On Retiming for FPGA Logic Module Minimization. Search on Bibsonomy ICCD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Samir Lejmi, Bozena Kaminska, Edouard Wagneur Retiming for the Global Optimization of Synchronous Sequential Circuits. Search on Bibsonomy ICCD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Sven Simon 0001, Ernst G. Bernard, Matthias Sauer 0001, Josef A. Nossek A New Retiming Algorithm for Circuit Design. Search on Bibsonomy ISCAS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Liang-Fang Chao, Edwin Hsing-Mean Sha Retiming and Clock Skew for Synchronous Systems. Search on Bibsonomy ISCAS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Nelson L. Passos, Edwin Hsing-Mean Sha, Steven C. Bass Partitioning and Retiming of Multi-Dimensional Systems. Search on Bibsonomy ISCAS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Dimitrios Kagaris, Spyros Tragoudas Retiming algorithms with application to VLSI testability. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Sujit Dey, Srimat T. Chakradhar Retiming sequential circuits to enhance testability. Search on Bibsonomy VTS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Nelson L. Passos, Edwin Hsing-Mean Sha Full Parallelism in Uniform Nested Loops Using Multi-Dimensional Retiming. Search on Bibsonomy ICPP (2) The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Srimat T. Chakradhar, Sujit Dey Resynthesis and Retiming for Optimum Partial Scan. Search on Bibsonomy DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Guy Even Design of VLSI circuits using Retiming. Search on Bibsonomy 1994   RDF
18Stephen D. Brookes Using Fixed-Point Semantics to Prove Retiming Lemmas. Search on Bibsonomy Formal Methods Syst. Des. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Albert van der Werf, Emile H. L. Aarts, E. W. Heijnen, Jef L. van Meerbergen, Wim F. J. Verhaegh, Paul E. R. Lippens A new method for retiming multi-functional processing units. Search on Bibsonomy VLSI The full citation details ... 1993 DBLP  BibTeX  RDF
18Liang-Fang Chao, Edwin Hsing-Mean Sha Efficient retiming and unfolding. Search on Bibsonomy ICASSP (1) The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Ulrich Weinmann, Wolfgang Rosenstiel Technology mapping for sequential circuits based on retiming techniques. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Hans-Georg Martin Retiming by combination of relocation and clock delay adjustment. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Brian Lockyear, Carl Ebeling The practical application of retiming to the design of high-performance systems. Search on Bibsonomy ICCAD The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18José Monteiro 0001, Srinivas Devadas, Abhijit Ghosh Retiming sequential circuits for low power. Search on Bibsonomy ICCAD The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Alexander T. Ishii Retiming gated-clocks and precharged circuit structures. Search on Bibsonomy ICCAD The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Lung-Tien Liu, Minshine Shih, Nan-Chi Chou, Chung-Kuan Cheng, Walter H. Ku Performance-driven partitioning using retiming and replication. Search on Bibsonomy ICCAD The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Miodrag Potkonjak, Sujit Dey, Zia Iqbal, Alice C. Parker High Performance Embedded System Optimization Using Algebraic and Generalized Retiming Techniques. Search on Bibsonomy ICCD The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Samir Lejmi, Bozena Kaminska, Edouard Wagneur Resynthesis and Retiming of Synchronous Sequential Cirucits. Search on Bibsonomy ISCAS The full citation details ... 1993 DBLP  BibTeX  RDF
18Tolga Soyata, Eby G. Friedman, James H. Mulligan Jr. Integration of Clock Skew and Register Delays into a Retiming Algorithm. Search on Bibsonomy ISCAS The full citation details ... 1993 DBLP  BibTeX  RDF
18Dimitrios Kagaris, Spyros Tragoudas Partial Scan with Retiming. Search on Bibsonomy DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Zia Iqbal, Miodrag Potkonjak, Sujit Dey, Alice C. Parker Critical Path Minimization Using Retiming and Algebraic Speed-Up. Search on Bibsonomy DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Ming-Kang Liu Using negative stuffing retiming for circuit emulation in a packet switching network. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
18Liang-Fang Chao, Edwin Hsing-Mean Sha Unfolding and retiming data-flow DSP programs for RISC multiprocessor scheduling. Search on Bibsonomy ICASSP The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
18Sujit Dey, Miodrag Potkonjak, Steven G. Rothweiler Performance optimization of sequential circuits by eliminating retiming bottlenecks. Search on Bibsonomy ICCAD The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
18Jin-Chin Chung Optimal Loope Parallelization Based on a Retiming Technique. Search on Bibsonomy ICPP (2) The full citation details ... 1992 DBLP  BibTeX  RDF
18Liang-Fang Chao, Edwin Hsing-Mean Sha Retiming and Unfolding Data-Flow Graphs. Search on Bibsonomy ICPP (2) The full citation details ... 1992 DBLP  BibTeX  RDF
18Charles E. Leiserson, James B. Saxe Retiming Synchronous Circuitry. Search on Bibsonomy Algorithmica The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
18Ellen Sentovich, Robert K. Brayton Preserving Don't Care Conditions During Retiming. Search on Bibsonomy VLSI The full citation details ... 1991 DBLP  BibTeX  RDF
18Albert van der Werf, B. T. McSweeney, Jef L. van Meerbergen, Paul E. R. Lippens, Wim F. J. Verhaegh Hierarchical Retiming Including Pipelining. Search on Bibsonomy VLSI The full citation details ... 1991 DBLP  BibTeX  RDF
18Narendra V. Shenoy, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli Retiming of Circuits with Single Phase Transparent Latches. Search on Bibsonomy ICCD The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
18Marios C. Papaefthymiou Understanding Retiming Through Maximum Average-Weight Cycles. Search on Bibsonomy SPAA The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
18Daniel A. Fishman, Robert L. Rosenberg, Christodoulos Chamzas Analysis of Jitter Peaking Effects in Digital Long-Haul Transmission Systems Using SAW-Filter Retiming. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
17Toshinori Sato, Yuji Kunitake Exploiting Input Variations for Energy Reduction. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF typical-case design, dynamic retiming, reliable microarchitecture, robust microarchitecture, DVFS, deep sub-micron
17Zili Shao, Bin Xiao 0001, Chun Xue, Qingfeng Zhuge, Edwin Hsing-Mean Sha Loop scheduling with timing and switching-activity minimization for VLIW DSP. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF instruction bus optimization, low-power optimization, compilers, software pipelining, VLIW, retiming, instruction scheduling, loops
17Xinmiao Zhang, Keshab K. Parhi High-speed architectures for parallel long BCH encoders. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF BCH, critical loop, iteration bound, parallel processing, encoder, linear feedback shift register, retiming, unfolding, fanout, generator polynomial
17Akshay Sharma, Carl Ebeling, Scott Hauck PipeRoute: a pipelining-aware router for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF BFS, PipeRoute, retimed circuits, routing, pipelining, minimum spanning tree, retiming, pipelined circuits
17Jason Cong, Chang Wu Global clustering-based performance-driven circuit partitioning. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF clustering, partitioning, performance optimization, retiming, VLSI CAD
17Fei Chen, Timothy W. O'Neil, Edwin Hsing-Mean Sha Optimizing Overall Loop Schedules Using Prefetching and Partitioning. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF scheduling, partitioning, Prefetching, retiming, latency-hiding
17Peichen Pan, C. L. Liu 0001 Partial Scan with Preselected Scan Signals. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF design for testability, retiming, partial scan, Digital testing
17Fenghao Mu, Christer Svensson Vector Transfer by Self-Tested Self-Synchronization for Parallel Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF VLSI, synchronization, Parallel systems, retiming, metastability, high speed interconnect
17Kaisheng Wang, Ted Zhihong Yu, Edwin Hsing-Mean Sha RCRS: A Framework for Loop Scheduling with Limited Number of Registers. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF retiming, rotation, data-flow graphs, Loop scheduling, registers
17Alexander T. Ishii, Charles E. Leiserson, Marios C. Papaefthymiou Optimizing two-phase, level-clocked circuitry. Search on Bibsonomy J. ACM The full citation details ... 1997 DBLP  DOI  BibTeX  RDF clock tuning, level-clocked circuitry, multiphase clocking, timing analysis and optimization, VLSI, retiming
Displaying result #301 - #400 of 481 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license