The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for skew with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1980 (15) 1982-1987 (18) 1988-1989 (15) 1990 (20) 1991-1992 (30) 1993 (35) 1994 (35) 1995 (48) 1996 (48) 1997 (62) 1998 (42) 1999 (79) 2000 (61) 2001 (62) 2002 (75) 2003 (89) 2004 (125) 2005 (132) 2006 (146) 2007 (133) 2008 (170) 2009 (127) 2010 (117) 2011 (108) 2012 (92) 2013 (115) 2014 (133) 2015 (150) 2016 (121) 2017 (124) 2018 (128) 2019 (139) 2020 (160) 2021 (139) 2022 (148) 2023 (111) 2024 (31)
Publication types (Num. hits)
article(1800) incollection(24) inproceedings(1552) phdthesis(7)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1267 occurrences of 744 keywords

Results
Found 3383 publication records. Showing 3383 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
27Avanindra Chaudhuri, Subhasis Chaudhuri Robust detection of skew in document images. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
27Andrew B. Kahng, Chung-Wen Albert Tsao Planar-DME: a single-layer zero-skew clock tree router. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
27Nan-Chi Chou, Chung-Kuan Cheng On general zero-skew clock net construction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
27Andrew B. Kahng, Chung-Wen Albert Tsao Low-cost single-layer clock trees with exact zero Elmore delay skew. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
27Pramod V. Argade Sizing an inverter with a precise delay: generation of complementary signals with minimal skew and pulsewidth distortion in CMOS. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
25Ling Zhang, Wenjian Yu, Haikun Zhu, Wanping Zhang, Chung-Kuan Cheng Clock Skew Analysis via Vector Fitting in Frequency Domain. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF vector fitting, clock skew, frequency domain
25Sebastià A. Bota, José Luis Rosselló, Carol de Benito, Ali Keshavarzi, Jaume Segura 0001 Impact of Thermal Gradients on Clock Skew and Testing. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF temperature, clock skew, clock distribution network, interconnect delay
25Flip Korn, S. Muthukrishnan 0001, Yihua Wu Modeling skew in data streams. Search on Bibsonomy SIGMOD Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF modeling, estimation, streaming algorithms, skew
25Alfio Lombardo, Giacomo Morabito, Giovanni Schembra A discrete-time paradigm to evaluate skew performance in a multimedia ATM multiplexer. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF performance evaluation, multimedia, ATM, Markov models, skew
25Joel L. Wolf, Daniel M. Dias, Philip S. Yu, John Turek New Algorithms for Parallelizing Relational Database Joins in the Presence of Data Skew. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF relational database joins, common database operation, comparative performance, parallel algorithms, parallel algorithms, load balancing, parallel processing, parallel programming, resource allocation, relational databases, relational algebra, relational database systems, join algorithms, data skew, parallel environment
25Steven D. Kugelmass, Kenneth Steiglitz An Upper Bound on Expected Clock Skew in Synchronous Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF expected clock skew, tree distribution systems, synchronously clocked processing elements, buffer stage, VLSI constraints, H-tree, multiprocessor interconnection networks, statistical model, upper bound, synchronous systems, propagation delays
23Kui Du, Jia-Jun Fan, Xiaohui Sun, Fang Wang, Ya-Lan Zhang On Krylov subspace methods for skew-symmetric and shifted skew-symmetric linear systems. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
23Seungyeop Kang, Kyeong Soo Kim Theoretical and Practical Bounds on the Initial Value of Skew-Compensated Clock for Clock Skew Compensation Algorithm Immune to Floating-Point Precision Loss. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
23Sergei A. Malyugin Linear and group perfect codes over skew fields and quasi skew fields. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
23Jinzhi Huang, Zhongxiao Jia A skew-symmetric Lanczos bidiagonalization method for computing several largest eigenpairs of a large skew-symmetric matrix. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
23Christopher Adcock Copulaesque Versions of the Skew-Normal and Skew-Student Distributions. Search on Bibsonomy Symmetry The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
23Tõnu Kollo, Meelis Käärik, Anne Selart Multivariate Skew t-Distribution: Asymptotics for Parameter Estimators and Extension to Skew t-Copula. Search on Bibsonomy Symmetry The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
23 Jonathan E. Leech: Noncommutative Lattices: Skew Lattices, Skew Boolean Algebras and Beyond. Search on Bibsonomy Ars Math. Contemp. The full citation details ... 2021 DBLP  BibTeX  RDF
23Shanming Wang, Jianfeng Hong, Yuguang Sun, Haixiang Cao Effect Comparison of Zigzag Skew PM Pole and Straight Skew Slot for Vibration Mitigation of PM Brush DC Motors. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
23Paravee Maneejuk How to Take Both Non-Linearity and Asymmetry (Skewness) into Account in Binary Decision Making: Skew-Probit and Skew-Logit in Binary Kink Regression. Search on Bibsonomy Int. J. Uncertain. Fuzziness Knowl. Based Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
23Delphine Boucher An algorithm for decoding skew Reed-Solomon codes with respect to the skew metric. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
23Davod Khojasteh Salkuyeh Shifted skew-symmetric/skew-symmetric splitting method and its application to generalized saddle point problems. Search on Bibsonomy Appl. Math. Lett. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
23Sheng Wang, Dale L. Zimmerman, Patrick Breheny Sparsity-regularized skewness estimation for the multivariate skew normal and multivariate skew t distributions. Search on Bibsonomy J. Multivar. Anal. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
23Jennifer Lu, Steven L. Salzberg SkewIT: The Skew Index Test for large-scale GC Skew analysis of bacterial genomes. Search on Bibsonomy PLoS Comput. Biol. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
23Heide Gluesing-Luerssen Skew-Polynomial Rings and Skew-Cyclic Codes. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
23Habibul Islam, Om Prakash 0004 Skew cyclic and skew (α1 + uα2 + vα3 + uvα4)-constacyclic codes over Fq + uFq + vFq + uvFq. Search on Bibsonomy Int. J. Inf. Coding Theory The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
23R. Koohnavard, A. Borumand Saeid (Skew) Filters in Residuated Skew Lattices. Search on Bibsonomy Sci. Ann. Comput. Sci. The full citation details ... 2018 DBLP  BibTeX  RDF
23Sharon X. Lee, Kaleb L. Leemaqz, Geoffrey J. McLachlan A Block EM Algorithm for Multivariate Skew Normal and Skew $t$ -Mixture Models. Search on Bibsonomy IEEE Trans. Neural Networks Learn. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
23Habibul Islam, Om Prakash 0004 Skew cyclic codes and skew(1+u2+v3+uv4)-constacyclic codes over Fq + uFq + vFq + uvFq. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
23Habibul Islam, Om Prakash 0004 Construction of skew cyclic and skew constacyclic codes over Fq+uFq+vFq. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
23Darko Brodic, Ivo R. Draganov, Zoran N. Milivojevic, Visa Tasic Comparison of vertical projection profile, moment-based and initial skew rate algorithm for text skew estimation. Search on Bibsonomy Int. J. Reason. based Intell. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
23Sharon X. Lee, Geoffrey J. McLachlan Finite mixtures of canonical fundamental skew t-distributions - The unification of the restricted and unrestricted skew t-mixture models. Search on Bibsonomy Stat. Comput. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
23Sharon X. Lee, Kaleb L. Leemaqz, Geoffrey J. McLachlan A block EM algorithm for multivariate skew normal and skew t-mixture models. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
23Simone A. Padoan Corrigendum to "Multivariate extreme models based on underlying skew-t and skew-normal distributions" [J. Multivariate Analyis 102 (2011) 977-991]. Search on Bibsonomy J. Multivar. Anal. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
23SangGi Do, Seungwon Kim, Seokhyeong Kang Skew control methodology for useful-skew implementation. Search on Bibsonomy ISOCC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
23Delphine Boucher, Felix Ulmer Self-dual skew codes and factorization of skew polynomials. Search on Bibsonomy J. Symb. Comput. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
23Sharon X. Lee, Geoffrey J. McLachlan On mixtures of skew normal and skew t-distributions. Search on Bibsonomy Adv. Data Anal. Classif. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
23Sou-Cheng T. Choi Minimal Residual Methods for Complex Symmetric, Skew Symmetric, and Skew Hermitian Systems Search on Bibsonomy CoRR The full citation details ... 2013 DBLP  BibTeX  RDF
23Darko Brodic, Liangrui Peng, Cedomir A. Maluckov, Zoran Milivojevic Detection of the global text skew with initial skew rate. Search on Bibsonomy TSP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
23Bindu Punathumparambath The multivariate skew-slash t and skew-slash Cauchy distributions. Search on Bibsonomy Model. Assist. Stat. Appl. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
23Darko Brodic, Dragan R. Milivojevic, Branko Dokic, Visa Tasic Comparison between initial skew rate and moment based method for the printed text skew estimation. Search on Bibsonomy MIPRO The full citation details ... 2012 DBLP  BibTeX  RDF
23Ziming Duan, Pingli Lv, Lianying Miao, Zhengke Miao, Cuiqi Wang New upper bounds on the L(2, 1)-labeling of the skew and converse skew product graphs. Search on Bibsonomy Theor. Comput. Sci. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Simone A. Padoan Multivariate extreme models based on underlying skew-t and skew-normal distributions. Search on Bibsonomy J. Multivar. Anal. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Maria Artale, David A. Buchsbaum Resolutions of three-rowed skew- and almost skew-shapes in characteristic zero. Search on Bibsonomy Eur. J. Comb. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
23Tak-Yung Kim, Taewhan Kim Bounded skew clock routing for 3D stacked IC designs: Enabling trade-offs between power and clock skew. Search on Bibsonomy Green Computing Conference The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
23Vinayak Honkote, Baris Taskin Skew-aware capacitive load balancing for low-power zero clock skew rotary oscillatory array. Search on Bibsonomy ICCD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
23Vinayak Honkote, Baris Taskin Skew analysis and bounded skew constraint methodology for rotary clocking technology. Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
23Chandan Singh, Nitin Bhatia, Amandeep Kaur 0001 Hough transform based fast skew detection and accurate skew correction methods. Search on Bibsonomy Pattern Recognit. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Zhendong Shao, David Zhang 0001 Improved upper bounds on the L(2, 1) -labeling of the skew and converse skew product graphs. Search on Bibsonomy Theor. Comput. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Zhendong Shao, Roger K. Yeh, David Zhang 0001 The L(2, 1)-labeling on the skew and converse skew products of graphs. Search on Bibsonomy Appl. Math. Lett. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Leiba Rodman Invariant Subspaces of Skew-Adjoint Matrices in Skew-Symmetric Inner Products. Search on Bibsonomy SIAM J. Matrix Anal. Appl. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23A. Lawrence Spitz Analysis of Compressed Document Images for Dominant Skew, Multiple Skew, and Logotype Detection. Search on Bibsonomy Comput. Vis. Image Underst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
23Mario Blaum, Jehoshua Bruck, Levon H. Khachatrian Constructions of skew-tolerant and skew-detecting codes. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
22Venkata Rajesh Mekala, Yifang Liu, Xiaoji Ye, Jiang Hu, Peng Li 0001 Accurate clock mesh sizing via sequential quadraticprogramming. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF optimization, sequential quadratic programming
22Matthew R. Guthaus, Gustavo Wilke, Ricardo Reis 0001 Non-uniform clock mesh optimization with linear programming buffer insertion. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF clock mesh optimization, robust design
22Bernd Borchert, Pierre McKenzie, Klaus Reinhardt Few Product Gates But Many Zeros. Search on Bibsonomy MFCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Itay Bar Yosef, Nate Hagbi, Klara Kedem, Its'hak Dinstein Line Segmentation for Degraded Handwritten Historical Documents. Search on Bibsonomy ICDAR The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Jacob R. Minz, Xin Zhao 0001, Sung Kyu Lim Buffered clock tree synthesis for 3D ICs under thermal variations. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Matthew R. Guthaus, Dennis Sylvester, Richard B. Brown Clock tree synthesis with data-path sensitivity matching. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Yesin Ryu, Taewhan Kim Clock buffer polarity assignment combined with clock tree generation for power/ground noise minimization. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Qasim M. Chaudhari, Erchin Serpedin A Simple Algorithm for Clock Synchronization in Wireless Sensor Networks. Search on Bibsonomy WOWMOM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Rui Fan 0004, Nancy A. Lynch Gradient clock synchronization. Search on Bibsonomy Distributed Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Ad-hoc networks, Lower bounds, Clock synchronization, Indistinguishability
22Bo Yuan, Leong Kwoh, Chew Lim Tan Finding the Best-Fit Bounding-Boxes. Search on Bibsonomy Document Analysis Systems The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Chao-Yang Yeh, Gustavo R. Wilke, Hongyu Chen, Subodh M. Reddy, Hoa-van Nguyen, Takashi Miyoshi, William W. Walker, Rajeev Murgai Clock Distribution Architectures: A Comparative Study. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Ping Gui, Fouad E. Kiamilev, Xiaoqing Wang, Michael J. MacFadden, Xingle Wang, Nick Waite, Michael W. Haney, Charlie Kuznia A Source-Synchronous Double-Data-Rate Parallel Optical Transceiver IC. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Cris L. Luengo Hendriks, Lucas J. van Vliet Using Line Segments as Structuring Elements for Sampling-Invariant Measurements. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Mathematical morphology, rotation invariance, translation invariance, granulometry
22Chun-Chao Yeh Phase Synchronization and Seamless Peer-Reconnection on Peer-to-Peer Streaming Systems. Search on Bibsonomy ICOIN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Meigen Shen, Li-Rong Zheng 0001, Esa Tjukanoff, Jouni Isoaho, Hannu Tenhunen Concurrent Chip Package Design for Global Clock Distribution Network Using Standing Wave Approach. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22John R. Mashey War of the benchmark means: time for a truce. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2004 DBLP  DOI  BibTeX  RDF benchmarking, lognormal distribution, geometric mean
22Zhiyuan Li 0001, Yonghong Song Automatic tiling of iterative stencil loops. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Caches, optimizing compilers, loop transformations
22Huanliang Sun, Yubin Bao, Faxin Zhao, Ge Yu 0001, Daling Wang CD-Trees: An Efficient Index Structure for Outlier Detection. Search on Bibsonomy WAIM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Rui Fan 0004, Nancy A. Lynch Gradient clock synchronization. Search on Bibsonomy PODC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ad hoc networks, lower bounds, clock synchronization, local algorithms
22Rishi Chaturvedi, Jiang Hu Buffered Clock Tree for High Quality IC Design. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Jason Stinson, Stefan Rusu A 1.5GHz third generation itanium® 2 processor. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF on-die cache, reliability, test, design methodology, processor
22Takuma Yamaguchi, Yasuaki Nakano, Minoru Maruyama, Hidetoshi Miyao, Toshihiro Hananoi Digit Classification on Signboards for Telephone Number Recognition. Search on Bibsonomy ICDAR The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Yu-Min Lee, Hing Yin Lai, Charlie Chung-Ping Chen Optimal spacing and capacitance padding for general clock structures. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Fyzodeen Khan, Ying Sun Morphological Templates for Extracting Texture Information in X-Ray Mammography. Search on Bibsonomy CBMS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Jean Ponce On Computing Metric Upgrades of Projective Reconstructions Under the Rectangular Pixel Assumption. Search on Bibsonomy SMILE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Fenghao Mu, Christer Svensson Vector Transfer by Self-Tested Self-Synchronization for Parallel Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF VLSI, synchronization, Parallel systems, retiming, metastability, high speed interconnect
22Pietro Parodi, Roberto Fontana Efficient and flexible text extraction from document pages. Search on Bibsonomy Int. J. Document Anal. Recognit. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Segmentation accuracy, Computational complexity, Text extraction, Document segmentation
22Swarup Acharya, Viswanath Poosala, Sridhar Ramaswamy Selectivity Estimation in Spatial Databases. Search on Bibsonomy SIGMOD Conference The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Robert Rönngren, Rassul Ayani A Comparative Study of Parallel and Sequential Priority Queue Algorithms. Search on Bibsonomy ACM Trans. Model. Comput. Simul. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF parallel access priority queue, pending event set implementations, priority queue
22Charles B. Owen, Fillia Makedon Bottleneck-Free Separable Affine Image Warping. Search on Bibsonomy ICIP (1) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
22Thierry Blu, Michael Unser Quantitative L2 Error Analysis for Interpolation Methods and Wavelt Expansions. Search on Bibsonomy ICIP (1) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
22Masahiko Saito, Gul Agha A modular approach to real-time synchronization. Search on Bibsonomy OOPS Messenger The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Concurrent C
22Shantanu Ganguly, Shervin Hojat Clock distribution design and verification for PowerPC microprocessors. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF PowerPC
22Mitsuho Seki, Kenji Inoue, Kazuo Kato, Kouki Tsurusaki, Shin'ichi Fukasawa, Hitoshi Sasaki, Mutsuhito Aizawa A specified delay accomplishing clock router using multiple layers. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
22Jason Cong, Andrew B. Kahng, Gabriel Robins Matching-based methods for high-performance clock routing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
22Michael A. B. Jackson, Arvind Srinivasan 0004, Ernest S. Kuh Clock Routing for High-Performance ICs. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
21Rafael Dueire Lins, Serene Banerjee, Marcelo Thielo Automatically detecting and classifying noises in document images. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF noise characterization, classification, documents, orientation, skew, borders, show-through, bleeding, back-to-front interference
21Chrisil Arackaparambil, Sergey Bratus, Anna Shubina, David Kotz On the reliability of wireless fingerprinting using clock skews. Search on Bibsonomy WISEC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fake access point, mac address spoofing, wireless, ieee 802.11, fingerprinting, clock skew, timestamp
21Baris Taskin, Joseph Demaio, Owen Farell, Michael Hazeltine, Ryan Ketner Custom topology rotary clock router with tree subnetworks. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Resonant rotary clocking, clock network design, multiphase synchronization, clock skew
21Gustavo Neuberger, Gilson I. Wirth, Ricardo Reis 0001 Protecting digital circuits against hold time violation due to process variability. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF flip-flop characterization, hold time violations, race immunity, clock skew, process variability
21Erdem Serkan Erdogan, Sule Ozev Single-Measurement Diagnostic Test Method for Parametric Faults of I/Q Modulating RF Transceivers. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF RF Transceivers, I/Q modulation, I/Q mismatch, Time skew
21Erich L. Kaltofen, Pascal Koiran Expressing a fraction of two determinants as a determinant. Search on Bibsonomy ISSAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF algebraic complexity theory, formula complexity, strassen's removal of divisions, toda's skew circuits, valiant's universality of determinants
21Peter Benner, Daniel Kressner Algorithm 854: Fortran 77 subroutines for computing the eigenvalues of Hamiltonian matrices II. Search on Bibsonomy ACM Trans. Math. Softw. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Algebraic Riccati equation, Hamiltonian matrix, invariant subspaces, skew-Hamiltonian matrix, symplectic QR decomposition, eigenvalues
21Guillaume Malod, Natacha Portier Characterizing Valiant's Algebraic Complexity Classes. Search on Bibsonomy MFCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Valiant’s theory, skew circuits, polynomials, Determinant, arithmetic circuits, Permanent, Algebraic complexity
21Jonggab Kil, Jie Gu 0003, Chris H. Kim A high-speed variation-tolerant interconnect technique for sub threshold circuits using capacitive boosting. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF capacitive boosting, sub-threshold circuit, clock skew, global interconnect, variation tolerance
21Rashed Zafar Bhatti, Monty Denneau, Jeff Draper 2 Gbps SerDes design based on IBM Cu-11 (130nm) standard cell technology. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF CDR, CML driver, LVDS, SerDes, duty cycle correction (DCC), jitter and skew compensation, standard cell based serializer and deserializer circuits for high speed signaling, PLL, DLL, phase detection
21Rafael Dueire Lins, Bruno Tenório Ávila BigBatch: a toolbox for monochromatic documents. Search on Bibsonomy ACM Symposium on Document Engineering The full citation details ... 2005 DBLP  DOI  BibTeX  RDF monochromatic images, image processing, orientation, document processing, skew detection, border removal
Displaying result #301 - #400 of 3383 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license