The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for slew with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1986-1996 (20) 1997-1999 (20) 2000-2001 (16) 2002-2003 (41) 2004 (18) 2005 (22) 2006 (30) 2007 (20) 2008 (27) 2009 (18) 2010-2011 (22) 2012-2013 (22) 2014-2015 (26) 2016-2017 (23) 2018-2019 (28) 2020 (19) 2021 (16) 2022 (16) 2023 (17)
Publication types (Num. hits)
article(141) incollection(2) inproceedings(277) phdthesis(1)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 164 occurrences of 122 keywords

Results
Found 422 publication records. Showing 421 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19John G. Kenney, Giri Rangan, Karthik Ramamurthy, Gabor C. Temes An enhanced slew rate source follower. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
19Andrew E. Stevens, Gerald A. Miller A high-slew integrator for switched-capacitor circuits. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Jaime Ramírez-Angulo High Slew Rate, Low Voltage BiCMOS and Bipolar Operational Amplifier Architectures with Rail to Rrail Common Mode Input Voltage Swing. Search on Bibsonomy ISCAS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Hironori Fujii, Shigeyuki Takeda Control of a flexible space structure with a tip mass in minimum time slew maneuver. Search on Bibsonomy Robotics, Mechatronics and Manufacturing Systems The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
19Kartahik Ramamurthy, John G. Kenney, Giri Rangan On-chip Tests for Gain Bandwidth Product and Slew Rate. Search on Bibsonomy ISCAS The full citation details ... 1993 DBLP  BibTeX  RDF
19Dong Li A control design approach for slew maneuver of elastic multibody systems. Search on Bibsonomy ICRA The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
19Andrzej Borys Sinusoidal oscillators with slew-rate type nonlinearity. Search on Bibsonomy Eur. Trans. Telecommun. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
19Hiekeun Ko, Clark C. Davis, Edwin K. Iversen, Stephen C. Jacobsen High stiffness and low slew drag in an antagonistic control system. Search on Bibsonomy ICRA The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
19P. P. J. van den Bosch, W. Jongkind, A. C. M. van Swieten Adaptive attitude control for large-angle slew manoeuvres. Search on Bibsonomy Autom. The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
12Qin Tang, Amir Zjajo, Michel Berkelaar, Nick van der Meijs RDE-based transistor-level gate simulation for statistical static timing analysis. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF non-Monte Carlo, transistor-level modeling, statistical static timing analysis
12Abhishek A. Sinkar, Nam Sung Kim Analyzing potential power reduction with adaptive voltage positioning optimized for multicore processors. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF adaptive voltage positioning, multicore processor
12Pinaki Mazumder Disruptive technologies and neurally-inspired architectures. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF glsvlsi 2009 invited talk
12Ali Dasdan, Santanu Kolay, Mustafa Yazgan Derating for static timing analysis: Theory and practice. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Kwangok Jeong, Andrew B. Kahng, Hailong Yao Revisiting the linear programming framework for leakage power vs. performance optimization. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Nagendra Krishnapura, Shanthi Pavan Negative Feedback System and Circuit Design. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Jaime Ramírez-Angulo, Ramón González Carvajal, Antonio J. López-Martín Techniques for the Design of Low Voltage Power Efficient Analog and Mixed Signal Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Maik Nijhuis, Herbert Bos, Henri E. Bal, Cédric Augonnet Mapping and Synchronizing Streaming Applications on Cell Processors. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Sari Onaissi, Farid N. Najm A Linear-Time Approach for Static Timing Analysis Covering All Process Corners. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Vaddadi P. Chandu, Karandeep Singh A Model for Multiplayer Interactive Games in Cellular Wireless Environment. Search on Bibsonomy Innovative Techniques in Instruction Technology, E-learning, E-assessment, and Education The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Sean X. Shi, Anand Ramalingam, Daifeng Wang, David Z. Pan Latch Modeling for Statistical Timing Analysis. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Tao Luo 0002, David Newmark, David Z. Pan Total power optimization combining placement, sizing and multi-Vt through slack distribution management. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Seulki Lee, Jerald Yoo, Hoi-Jun Yoo A 200Mbps 0.02nJ/b dual-mode inductive coupling transceiver for cm-range interconnection. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Weixun Yan, Robert Kolm, Horst Zimmermann Efficient four-stage frequency compensation for low-voltage amplifiers. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Sachin Shrivastava, Harindranath Parameswaran Statistical Crosstalk Noise Analysis Using First Order Parameterized Approach for Aggressor Grouping. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF statistical crosstalk, aggressor grouping
12Xin Wang, Alireza Kasnavi, Harold Levy An Efficient Method for Fast Delay and SI Calculation Using Current Source Models. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Delay calculation, gate-level analysis, transient simulation, SI
12Vineeth Veetil, Dennis Sylvester, David T. Blaauw Fast and Accurate Waveform Analysis with Current Source Models. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF weibull, bicubic spline, current source model
12Savithri Sundareswaran, Jacob A. Abraham, Alexandre Ardelea, Rajendran Panda Characterization of Standard Cells for Intra-Cell Mismatch Variations. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Statistical Characterization, Intra-Cell Variations, Random Variations, Mismatch Variations
12Gerald Bortis Experiences with Mirth: an open source health care integration engine. Search on Bibsonomy ICSE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF health care integration, software architecture, middleware
12Chih-Wen Lu, Yen-Chih Shen, Meng-Lieh Sheu A high-driving class-AB buffer amplifier with a new pseudo source follower. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Run Chen, Liyuan Liu, Dongmei Li, Zhihua Wang 0001 Full custom design of a three-stage amplifier with 5500MHz·pF/mW Performance in 0.18 mum CMO S. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Haoxing Ren, David Z. Pan, Charles J. Alpert, Gi-Joon Nam, Paul G. Villarrubia Hippocrates: First-Do-No-Harm Detailed Placement. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF synthesis optimizations, Hippocrates, first-do-no-harm detailed placement, pin-based timing constraint, electrical constraints, reduced wire-length
12Mark Peters, Anthony Man-Cho So, Yinyu Ye 0001 Pari-Mutuel Markets: Mechanisms and Performance. Search on Bibsonomy WINE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Gianluca Giustolisi Two-Stage OTA Design Based on Settling-Time Constraints. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Mike Bichan, Anthony Chan Carusone Crosstalk-Aware Transmitter Pulse-Shaping for Parallel Chip-to-Chip Links. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Jingjing Hu, Johan H. Huijsing, Kofi A. A. Makinwa A Three-Stage Amplifier with Quenched Multipath Frequency Compensation for All Capacitive Loads. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Ram Dantu, Prakash Kolan, Robert Akl, Kall Loper Classification of Attributes and Behavior in Risk Management Using Bayesian Networks. Search on Bibsonomy ISI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Jens Vygen Slack in static timing analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Kanak Agarwal, Mridul Agarwal, Dennis Sylvester, David T. Blaauw Statistical interconnect metrics for physical-design optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Yang Fang, Xiaobo Tan A Dynamic JKR Model with Application to Vibrational Release in Micromanipulation. Search on Bibsonomy IROS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Naoaki Ohkubo, Kimiyoshi Usami Delay modeling and static timing analysis for MTCMOS circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF interpolation., selective-MT, delay, leakage power, static timing analysis, MTCMOS
12Fabio Lacerda, Stefano Pietri, Alfredo Olmos A differential switched-capacitor amplifier with programmable gain and output offset voltage. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF differential to single ended, switched capacitor stage, analog integrated circuits
12Lukas Fujcik, Jiri Haze, Radimir Vrba, Thibault Mougel Modeling and design of novel architecture of multibit switched-capacitor sigma-delta converter with two-step quantization process. Search on Bibsonomy ICN/ICONS/MCL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Zhanyuan Jiang, Shiyan Hu, Jiang Hu, Zhuo Li 0001, Weiping Shi A new RLC buffer insertion algorithm. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Anas A. Hamoui, T. Alhajj, Mohammad Taherzadeh-Sani Behavioral modeling of Opamp gain and dynamic effectsfor power optimization of Delta-Sigma modulators and pipelined ADCs. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF sigma-delta (??) modulation, synthesis, behavioral modeling, discrete-time systems, analog-to-digital conversion
12Alexey Teplinsky, Raymond Flynn, Orla Feely Limit cycles in bang-bang phase-locked loops. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Yasutaka Haga, Richard C. S. Morling, Izzet Kale A new bulk-driven input stage design for sub 1-volt CMOS op-amps. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Mona Safi-Harb, Gordon W. Roberts A CMOS circuit for embedded GHz measurement of digital signal rise time degradation. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Hanif Fatemi, Soroush Abbaspour, Massoud Pedram, Amir H. Ajami, Emre Tuncer SACI: statistical static timing analysis of coupled interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF coupled interconnect, sources of variation, crosstalk noise, statistical timing analysis
12Roopak Suri, C. M. Markan Threshold Trimming Based Design of a CMOS Programmable Operational Amplifier. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Kornika Moolpho, Jitkasame Ngarmnil Low Voltage High-Performance Class-AB FGMOS Buffer. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Tao Luo 0002, David Newmark, David Z. Pan A new LP based incremental timing driven placement for high performance designs. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Hamid Hatamkhani, Frank Lambrecht, Vladimir Stojanovic, Chih-Kong Ken Yang Power-centric design of high-speed I/Os. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF serial link, convex optimization, I/O, power minimization, channel model
12Yu Cao 0001, Xuejue Huang, Dennis Sylvester, Tsu-Jae King 0001, Chenming Hu Impact of on-chip interconnect frequency-dependent R(f)L(f) on digital and RF design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Timothy M. Chan On Levels in Arrangements of Curves, II: A Simple Inequality and Its Consequences. Search on Bibsonomy Discret. Comput. Geom. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Flavio Carbognani, Felix Bürgin, Norbert Felber, Hubert Kaeslin, Wolfgang Fichtner Two-Phase Clocking and a New Latch Design for Low-Power Portable Applications. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Paolo Ferragina, Fabrizio Luccio, Giovanni Manzini, S. Muthukrishnan 0001 Structuring labeled trees for optimal succinctness, and beyond. Search on Bibsonomy FOCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Kalle Folkesson, Christer Svensson, B. Knuthammar, A. Dreyfert A high-level dynamic-error model of a pipelined analog-to-digital converter. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Brock J. LaMeres, Sunil P. Khatri Performance model for inter-chip communication considering inductive cross-talk and cost. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Shouli Yan, Jingyu Hu, Tongyu Song Novel and robust constant-gm technique for rail-to-rail CMOS amplifier input stages. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Kyung Ki Kim, Yong-Bin Kim, Fabrizio Lombardi Data Dependent Jitter (DDJ) Characterization Methodology. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Puneet Gupta 0001, Andrew B. Kahng, Puneet Sharma A Practical Transistor-Level Dual Threshold Voltage Assignment Methodology. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Chung-Kuan Tsai, Malgorzata Marek-Sadowska An Interconnect Insensitive Linear Time-Varying Driver Model for Static Timing Analysis. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Ram Dantu, Prakash Kolan Risk Management Using Behavior Based Bayesian Networks. Search on Bibsonomy ISI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Hiran Tennakoon, Carl Sechen Efficient and accurate gate sizing with piecewise convex delay models. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF piecewise convex, optimization, Lagrangian relaxation, gate sizing, delay modeling
12Lakshmi Kalpana Vakati, Janet Meiling Wang A new multi-ramp driver model with RLC interconnect load. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF inductance criteria, multi-ramp driver model, transmission line effects, interconnect modeling, effective capacitance
12Nima Maghari, Mohammad Yavari, Omid Shoaei An analytical model for the slewing behavior of CMOS two-stage operational transconductance amplifiers. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Walter Aloisi, Stello Matteo Billé, Gaetano Palumbo Low-voltage linear voltage regulator suitable for memories. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Friedel Gerfers, Maurits Ortmanns, Yiannos Manoli Design issues and performance limitations of a clock jitter insensitive multibit DAC architecture for high-performance low-power CT Sigma Delta modulators. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Amr A. Tammam, Khaled Hayatleh, Bryan L. Hart, F. John Lidgey High performance current-feedback op-amps. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Mohammad Taherzadeh-Sani, Reza Lotfi, Omid Shoaei A pseudo-class-AB telescopic-cascode operational amplifier. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Ram Dantu, Kall Loper, Prakash Kolan Risk Management using Behavior based Attack Graphs. Search on Bibsonomy ITCC (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Richard Goldman, Kurt Keutzer, Clive Bittlestone, Ahsan Bootehsaz, Shekhar Y. Borkar, E. Chen, Louis Scheffer, Chandramouli Visweswariah Is statistical timing statistically significant? Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Yatin Chawathe Scattercast: an adaptable broadcast distribution framework. Search on Bibsonomy Multim. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Scattercast, Overlay networks, Content distribution, Application-layer multicast
12Wen-Tsong Shiue, Weetit Wanalertlak Advanced Cell Modeling Techniques Based on Polynomial Expressions. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Timothy M. Chan On Levels in Arrangements of Curves, II: A Simple Inequality and Its Consequences. Search on Bibsonomy FOCS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Salvador Pinillos Gimenez, Marcelo Antonio Pavanello, João Antonio Martino, Stephane Adriaensen, Denis Flandre Design of Operational Transconductance Amplifiers with Improved Gain by Using Graded-Channel SOI nMOSFETs. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Masanori Hashimoto, Yuji Yamada, Hidetoshi Onodera Capturing crosstalk-induced waveform for accurate static timing analysis. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF capacitive coupling noise, delay calculation, slope propagation, static timing analysis, crosstalk noise
12Shidhartha Das, Kanak Agarwal, David T. Blaauw, Dennis Sylvester Optimal Inductance for On-chip RLC Interconnections. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Gerry Quilligan, D. P. Burton A 0.35µm CMOS voltage derivative sensor with sign and inflection outputs. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Haibin Huang, Ezz I. El-Masry A fast settling CMOS operational amplifier. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Jozef Adut, José Silva-Martínez Cascode transconductance amplifiers for HF switched-capacitor applications. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Dirk Weiler, Ton J. J. van den Boom, Bedrich J. Hosticka Resolution prediction for bandpass-Sigma-Delta-modulators using SIMULINK behavior simulation. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Kelvin Ka Sing Leung, Henry Shu-Hung Chung, Ron Shu-Yuen Hui Use of state trajectory prediction in hysteresis control for achieving fast transient response of the buck converter. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Thilak Senanayake, Tamotsu Ninomiya High-current clamp for fast-response load transitions of DC-DC converter. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Gianluca Giustolisi, Gaetano Palumbo A novel 1-V class-AB transconductor for improving speed performance in SC applications. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12M. Pauli, M. C. Ertem, E. Heidhausen Quick Response Airborne Deployment of Viper Muzzle Flash Detection and Location System During DC Sniper Attacks. Search on Bibsonomy AIPR The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12José Luis Rosselló, Jaume Segura 0001 Charge-based analytical model for the evaluation of powerconsumption in submicron CMOS buffers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Guy G. Lemieux, David M. Lewis Circuit design of routing switches. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Hiran Tennakoon, Carl Sechen Gate sizing using Lagrangian relaxation combined with a fast gradient-based pre-processing step. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Christopher R. Wagner, Susan J. Lederman, Robert D. Howe A Tactile Shape Display Using RC Servomotors. Search on Bibsonomy Symposium on Haptic Interfaces for Virtual Environment and Teleoperator Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF tactile shape display, spatially distributed forces, taction, teletaction, mechanical pin, fingertip, servo, rc servo, servomotor, tactile array, haptics, tactile display
12Li Ding 0002, Pinaki Mazumder, David T. Blaauw Crosstalk noise estimation using effective coupling capacitance. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Robert M. Fox, Inchang Seo, Hyeopgoo Yeo, Okjune Jeon Leveraged current mirror op amp. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Xiaoliang Bai, Chandramouli Visweswariah, Philip N. Strenski Uncertainty-aware circuit optimization. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF small uncertainty, optimization, process variation, nonlinear, performance optimization, transistor sizing, circuit tuning
12Yehea I. Ismail, Eby G. Friedman, José Luis Neves Exploiting the on-chip inductance in high-speed clock distribution networks. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12José Vicente Calvano, Antonio Carneiro de Mesquita Filho, Vladimir Castro Alves, Marcelo Lubaszewski Fault Models and Test Generation for OpAmp Circuits - The FFM. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF test generation, fault model, analog test, operational amplifiers
12Giuseppe Palmisano, Salvatore Pennisi Low-voltage continuous-time CMOS current amplifier with dynamic biasing. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Amir Hossein Nejad-Malayeri, Tajinder Manku A 270 MHz, 1.8 V fully differential CMOS operational amplifier for switched capacitor channel select filters in wide-band wireless applications. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Alex Doboli, Ranga Vemuri Hierarchical performance optimization for synthesis of linear analog systems. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Rohit Khare Seventh Heaven - How Functions: Transcending the Web as GUI, Part I. Search on Bibsonomy IEEE Internet Comput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Kamlesh Rath Broadband Networks. Search on Bibsonomy IEEE Multim. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 421 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license