The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for switch with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1969 (15) 1970-1976 (18) 1977-1981 (18) 1982-1983 (19) 1984 (19) 1985 (27) 1986 (27) 1987 (32) 1988 (59) 1989 (42) 1990 (56) 1991 (78) 1992 (84) 1993 (75) 1994 (100) 1995 (141) 1996 (124) 1997 (173) 1998 (152) 1999 (176) 2000 (216) 2001 (203) 2002 (258) 2003 (306) 2004 (340) 2005 (472) 2006 (549) 2007 (516) 2008 (529) 2009 (376) 2010 (242) 2011 (229) 2012 (235) 2013 (276) 2014 (289) 2015 (331) 2016 (314) 2017 (356) 2018 (365) 2019 (383) 2020 (364) 2021 (346) 2022 (366) 2023 (365) 2024 (91)
Publication types (Num. hits)
article(4054) data(3) incollection(14) inproceedings(5646) phdthesis(34) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4695 occurrences of 2325 keywords

Results
Found 9752 publication records. Showing 9752 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
25Steven G. McDonagh, Benjamin Hou, Amir Alansary, Ozan Oktay, Konstantinos Kamnitsas, Mary A. Rutherford, Joseph V. Hajnal, Bernhard Kainz Context-Sensitive Super-Resolution for Fast Fetal Magnetic Resonance Imaging. Search on Bibsonomy CMMI/RAMBO/SWITCH@MICCAI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Serkan Çimen, Mathias Unberath, Alejandro F. Frangi, Andreas K. Maier CoronARe: A Coronary Artery Reconstruction Challenge. Search on Bibsonomy CMMI/RAMBO/SWITCH@MICCAI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Nicolas Basty, Darryl McClymont, Irvin Teh, Jürgen E. Schneider, Vicente Grau Reconstruction of 3D Cardiac MR Images from 2D Slices Using Directional Total Variation. Search on Bibsonomy CMMI/RAMBO/SWITCH@MICCAI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Yiming Xiao, Ali Alamer, Vladimir S. Fonov, Benjamin W. Y. Lo, Donatella Tampieri, D. Louis Collins, Hassan Rivaz, Marta Kersten-Oertel Towards Automatic Collateral Circulation Score Evaluation in Ischemic Stroke Using Image Decompositions and Support Vector Machines. Search on Bibsonomy CMMI/RAMBO/SWITCH@MICCAI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Ninon Burgos, Jorge Samper-González, Anne Bertrand, Marie Odile Habert, Sébastien Ourselin, Stanley Durrleman, M. Jorge Cardoso, Olivier Colliot Individual Analysis of Molecular Brain Imaging Data Through Automatic Identification of Abnormality Patterns. Search on Bibsonomy CMMI/RAMBO/SWITCH@MICCAI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Yipeng Hu, Eli Gibson, Li-Lin Lee, Weidi Xie, Dean C. Barratt, Tom Vercauteren, J. Alison Noble Freehand Ultrasound Image Simulation with Spatially-Conditioned Generative Adversarial Networks. Search on Bibsonomy CMMI/RAMBO/SWITCH@MICCAI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Edward Ferdian, Anna M. M. Boers, Ludo F. M. Beenen, B. M. Cornelissen, Ivo G. H. Jansen, K. M. Treurniet, Jordi Borst, Charles B. L. M. Majoie, Henk A. Marquering Automated Ventricular System Segmentation in CT Images of Deformed Brains Due to Ischemic and Subarachnoid Hemorrhagic Stroke. Search on Bibsonomy CMMI/RAMBO/SWITCH@MICCAI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Rosa-María Menchón-Lara, Javier Royuela-del-Val, Alejandro Godino-Moya, Lucilio Cordero-Grande, Federico Simmross-Wattenberg, Marcos Martín-Fernández, Carlos Alberola-López An Efficient Multi-resolution Reconstruction Scheme with Motion Compensation for 5D Free-Breathing Whole-Heart MRI. Search on Bibsonomy CMMI/RAMBO/SWITCH@MICCAI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Cao-Dung Truong, Manh-Cuong Nguyen, Duy-Tien Le, Trung-Thanh Le All-optical switch based on 1×3 multimode interference couplers. Search on Bibsonomy Opt. Switch. Netw. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Muhammad Imran 0016, Martin Collier, Pascal Landais, Kostas Katrinis Performance evaluation of hybrid optical switch architecture for data center networks. Search on Bibsonomy Opt. Switch. Netw. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Ivan Plander, Michal Stepanovsky Advanced three-dimensional MEMS photonic cross-connect switch for nonblocking all-optical networks. Search on Bibsonomy Opt. Switch. Netw. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Masoud Asghari, Akbar Ghaffarpour Rahbar Contention avoidance in bufferless slotted optical packet switched networks with egress switch coordination. Search on Bibsonomy Opt. Switch. Netw. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Hung Nguyen Tan, Nattapong Kitsuwan, Motoharu Matsuura, Naoto Kishi, Eiji Oki Demonstration of bufferless optical packet switch with recursive stages of parametric wavelength converter. Search on Bibsonomy Opt. Switch. Netw. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
25Yusuke Hirota, Shinya Yatsuo, Hideki Tode, Koso Murakami Scheduling scheme using Look-ahead Buffer and Loop-back Buffer in Two-stage variable optical packet switch. Search on Bibsonomy Opt. Switch. Netw. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
25Kazumasa Tokuhashi, Kunitaka Ashizawa, Daisuke Ishii, Satoru Okamoto, Naoaki Yamanaka, Koji Wakayama, Keiichi Nashimoto MPCP based active optical access network with PLZT high-speed optical switch. Search on Bibsonomy Opt. Switch. Netw. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
25Rajiv Srivastava, Vinamra Gupta, Yatindra Nath Singh Gain dynamics of EDFA in loop buffer switch. Search on Bibsonomy Opt. Switch. Netw. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
25Ronelle Geldenhuys, Jacobus Stefanus van der Merwe, Kornkamol Thakulsukanant, Zhuoran Wang, Nan Chi, Siyuan Yu Contention resolution and variable length optical packet switching using the active vertical-coupler-based optical Crosspoint switch. Search on Bibsonomy Opt. Switch. Netw. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
25Rajiv Srivastava, Yatindra Nath Singh Feedback fiber delay lines and AWG based optical packet switch architecture. Search on Bibsonomy Opt. Switch. Netw. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
25Kyriakos Vlachos, Kostas Ramantas A non-competing hybrid optical burst switch architecture for QoS differentiation. Search on Bibsonomy Opt. Switch. Netw. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Satoshi Yoshima, Naoya Wada, Gabriella Cincotti, Tetsuya Miyazaki, Ken-ichi Kitayama Multicast-capable optical-code label packet switch: Proposal and its experimental demonstration. Search on Bibsonomy Opt. Switch. Netw. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Neha Sharma 0002, Devi Chadha, Vinod S. S. Chandra The augmented data vortex switch fabric: An all-optical packet switched interconnection network with enhanced fault tolerance. Search on Bibsonomy Opt. Switch. Netw. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Neha Sharma 0002, Devi Chadha, Vinod S. S. Chandra Performance evaluation of the augmented data vortex switch fabric: An all-optical packet switched interconnection network. Search on Bibsonomy Opt. Switch. Netw. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Crispín Gómez Requena, María Engracia Gómez, Pedro López 0001, José Duato Reducing Packet Dropping in a Bufferless NoC. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Crispín Gómez Requena, María Engracia Gómez, Pedro Juan López Rodríguez, José Duato An Efficient Switching Technique for NoCs with Reduced Buffer Requirements. Search on Bibsonomy ICPADS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Hans Eberle, Pedro Javier García, José Flich, José Duato, Robert J. Drost, Nils Gura, David Hopkins 0001, Wladek Olesinski High-radix crossbar switches enabled by proximity communication. Search on Bibsonomy SC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Mahshid Sedghi, Elnaz Koopahi, Armin Alaghi, Mahmood Fathy, Zainalabedin Navabi An NoC Test Strategy Based on Flooding with Power, Test Time and Coverage Considerations. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Ge Nong, Ning Situ, Mounir Hamdi Delay Analysis of Combined Input-Crosspoint Queueing Switches. Search on Bibsonomy ICCCN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Rahul Ratan, Manish Kumar Shukla, A. Yavuz Oruç Quantum Switching Networks with Classical Routing. Search on Bibsonomy CISS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Claus Bauer Low complexity, stable scheduling algorithms for networks of input queued switches with no or very low speed-up. Search on Bibsonomy Comput. Commun. Rev. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF modeling of communication networks, stability, scheduling algorithms
25Michael Rosenblum, Constantine Caramanis, Michel X. Goemans, Vahid Tarokh Approximating fluid schedules in crossbar packet-switches and Banyan networks. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF scheduling, graph theory, packet-switching, network calculus, combinatorics
25Tao Zhang 0043, Kejie Lu, Jason P. Jue Shared fiber delay line buffers in asynchronous optical packet switches. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Krishna V. Palem Energy Aware Computing through Probabilistic Switching: A Study of Limits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low-power design, Energy-aware systems, probabilistic computation
25Claus Bauer Approximations to Maximum Weight Matching Scheduling Algorithms of Low Complexity. Search on Bibsonomy AICT/SAPIR/ELETE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Andrea Lodi 0002, Roberto Giansante, Carlo Chiesa, Luca Ciccarelli, Fabio Campi, Mario Toma Compact Buffered Routing Architecture. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Xiaotong Zhuang, Santosh Pande Balancing register allocation across threads for a multithreaded network processor. Search on Bibsonomy PLDI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF register allocation, network processor, multithreaded processor
25David W. Weir, Michael A. Peshkin, J. Edward Colgate, Pietro Buttolo, James Rankin, Matthew Johnston The Haptic Profile: Capturing the Feel of Switches. Search on Bibsonomy HAPTICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Dan Guez, Alexander Kesselman, Adi Rosén Packet-mode policies for input-queued switches. Search on Bibsonomy SPAA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF packet-mode scheduling, competitive analysis, input-queued switches
25Somdip Datta, Subir K. Biswas, Sudipta Sengupta, Debanjan Saha Routing and Grooming in Two-Tier Survivable Optical Mesh Networks. Search on Bibsonomy IWQoS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Pablo Pavón-Mariño, Joan García-Haro, Josemaria Malgosa-Sanahuja, Fernando Cerdán Optical Packet Switching Fabrics Comparison under SCWP/SHWP Operational Modes. Search on Bibsonomy ISCC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Murat R. Becer, Ibrahim N. Hajj An Analytical Model for Delay and Crosstalk Estimation with Application to Decoupling. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF delay, analytical model, decoupling, Crosstalk noise
25Zhen Liu 0001, Philippe Nain, Donald F. Towsley On optimal polling policies. Search on Bibsonomy Queueing Syst. Theory Appl. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF polling policy, optimal stochastic scheduling, coupling, Polling system, stochastic ordering
24Yi Zhu 0002, Yuanfang Hu, Michael B. Taylor, Chung-Kuan Cheng Energy and switch area optimizations for FPGA global routing architectures. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGA, low power, global routing
24Edgar G. Daylight, Sandeep K. Shukla On the Difficulties of Concurrent-System Design, Illustrated with a 2×2 Switch Case Study. Search on Bibsonomy FM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF adaptability, non-functional requirements, formal specification languages, local reasoning
24Matías R. Miguez, Alfredo Arnaud, Joel Gak A self-protected integrated switch in a HV technology. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF design, drivers
24Shu Li, Tong Zhang 0002 Exploratory study on circuit and architecture design of very high density diode-switch phase change memories. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Aman Gayasen, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Arifur Rahman Designing a 3-D FPGA: Switch Box Architecture and Thermal Issues. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Gong Chen, Liu Qi, Huijuan Cui, Kun Tang Switch-Type Hybrid Hard Decision Decoding Algorithms for Regular Low-Density Parity-Check Codes. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Dongpil Chang, Yunseop Noh, Inbok Yom Design of High Performance HEMT Switch for S-band MSM of Satellite Transponder. Search on Bibsonomy VTC Spring The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Ling Wu, Cheng Li 0005 Performance Modeling of a Reconfigurable Shared Buffer for High-Speed Switch/Router. Search on Bibsonomy ICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Tetsuro Ueda, Kazunori Takeuchi, Shoji Kaneko, Shingo Nomura Dynamic Base Station Relocation in Cognitive Mesh Networks Using Packet Switch. Search on Bibsonomy WCNC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Yu-Hao Hsu, Ming-Hao Lu, Ping-Ling Yang, Fanta Chen, You-Hung Li, Min-Sheng Kao, Chih-Hsing Lin, Ching-Te Chiu, Jen-Ming Wu, Shuo-Hung Hsu, Yarsun Hsu A 28Gbps 4×4 switch with low jitter SerDes using area-saving RF model in 0.13µm CMOS technology. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Dong Tang, William Bryson, Richard Elling RAS Modeling of an HPC Switch System. Search on Bibsonomy PRDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Jad Naous, David Erickson, G. Adam Covington, Guido Appenzeller, Nick McKeown Implementing an OpenFlow switch on the NetFPGA platform. Search on Bibsonomy ANCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF flow switching, computer networks, packet switching, programmable networks, OpenFlow, NetFPGA
24Lei Shi 0002, Gao Xia, Bin Liu 0001 Performance Guarantees for Flow-Mapping Parallel Packet Switch. Search on Bibsonomy IPCCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Retdian Agung Nicodimus, Shigetaka Takagi, Nobuo Fujii Improvement of Bootstrapped Switch using Track and Precharge Phase. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Li Song, Aijun Liu, Yifei Ma An On-board Switch Scheme Based on DiffServ. Search on Bibsonomy SERA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Zhendong Gao, Jianbo Su Switch Images Based on Fusion in Uncalibrated Visual Servoing. Search on Bibsonomy IROS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Kypros Constantinides, Stephen Plaza, Jason A. Blome, Bin Zhang 0011, Valeria Bertacco, Scott A. Mahlke, Todd M. Austin, Michael Orshansky BulletProof: a defect-tolerant CMP switch architecture. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Koichi Ishida, Atit Tamtrakarn, Takayasu Sakurai A 0.5-V sigma-delta modulator using analog T-switch scheme for the subthreshold leakage suppression. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Ling Wang, Tong Shao, Shumei Wang, Gongxuan Zhang An Apparatus Realizing Switch of Computing Device Status. Search on Bibsonomy IMSCCS (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Computing device, multi operating systems, isolation
24Itamar Elhanany, Derek Chiou, Vahid Tabatabaee, Raffaele Noro, Ali Poursepanj The Network Processing Forum switch fabric benchmark specifications: an overview. Search on Bibsonomy IEEE Netw. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Ho-Ting Wu, Kai-Wei Ke, Wang-Rong Chang, Hui-Tang Lin A switched delay line based optical switch architecture with a bypass line. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Christian Jesús B. Fayomi, Gordon W. Roberts, Mohamad Sawan Low-voltage CMOS analog bootstrapped switch for sample-and-hold circuit: design and chip characterization. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Kenji Yoshigoe Rate-based Flow-control for the CICQ Switch. Search on Bibsonomy LCN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Carlos Roberto dos Santos, Shusaburo Motoyama A QoS Provisioned CIOQ Packet Switch Using Crossbar Structure with m Internal Links. Search on Bibsonomy Systems Communications The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Shuo-Yen Robert Li, Xuesong Tan Theory on Switch Preservation under 2-stage Interconnection. Search on Bibsonomy PDCAT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Chan-Eun Rhee, Han-You Jeong, Soonhoi Ha Many-to-Many Core-Switch Mapping in 2-D Mesh NoC Architectures. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Itamar Elhanany, Kurt Busch, Derek Chiou Switch Fabric Interfaces. Search on Bibsonomy Computer The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Steven P. Young, Peter Alfke, Colm Fewer, Scott McMillan, Brandon Blodget, Delon Levi A High I/O Reconfigurable Crossbar Switch. Search on Bibsonomy FCCM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Honglin Wu, Amir Gourgy, Ted H. Szymanski An Optoelectronic Multi-Terabit CMOS Switch Core for Local Area Networks. Search on Bibsonomy LCN The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Indrani Paul, Sudhakar Yalamanchili, José Duato Algorithms for Switch-Scheduling in the Multimedia Router for LANs. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Tadashi Suetsugu, Marian K. Kazimierczuk Voltage-clamped class E amplifier with a Zener diode across the switch. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Terry Tao Ye, Giovanni De Micheli, Luca Benini Analysis of power consumption on switch fabrics in network routers. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF interconnect networks, systems on chip, networks on chip, power consumption
24Sema F. Oktug A Multicast ATM Switch Based on PIPN. Search on Bibsonomy ICN (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Mohamed Escheikh, Kamel Barkaoui, Ammar Bouallegue Performance Analysis of an N(N ATM Switch with Markov Modulated Poisson Process under Back-Pressure Mechanism. Search on Bibsonomy MASCOTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
24Venkatram Krishnaswamy, Jeremy Casas, Thomas Tetzlaff A switch level fault simulation environment. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
24Sofiène Tahar, Xiaoyu Song, Eduard Cerny, Zijian Zhou 0001, Michel Langevin, Otmane Aït Mohamed Modeling and formal verification of the Fairisle ATM switch fabricusing MDGs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
24Moustafa A. Youssef 0001, Mohamed N. El-Derini, Hussein H. Aly Structure and Performance Evaluation of a Replicated Banyan Network Based ATM Switch. Search on Bibsonomy ISCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF replicated networks, ATM switching, banyan networks
24Salvador Mir, Adoración Rueda, Diego Vázquez, José Luis Huertas Switch-Level Fault Coverage Analysis for Switched-Capacitor Systems. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
24Bobby Vandalore, Sonia Fahmy, Raj Jain, Rohit Goyal, Mukul Goyal A Definition of General Weighted Fairness and its Support in Explicit Rate Switch Algorithms. Search on Bibsonomy ICNP The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
24Peter Dahlgren Switch-level bridging fault simulation in the presence of feedbacks. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
24Mike Parks A Modular Element for Shared Buffer ATM Switch Fabrics. Search on Bibsonomy ASAP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
24Pierre Plaza, Luis A. Merayo, Juan Carlos Diaz, José Luis Conesa A 2.5 Gb/s ATM switch chip set. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
24Byungho Kim, Boseob Kwon, Jinchun Kim, Hyunsoo Yoon, Jung Wan Cho Performance analysis of an ATM switch with multiple paths. Search on Bibsonomy ICNP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
24Mazin S. Yousif, Chita R. Das A Switch Cache Design for MIN-Based Shared-Memory Multiprocessors. Search on Bibsonomy CONPAR The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
24Andrew T. Yang, Yu-Hsu Chang, Daniel G. Saab, Ibrahim N. Hajj Switch-level timing simulation of bipolar ECL circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Reiner Hähnle, Werner Kernig Verification of Switch-Level Designs with Many-Valued Logic. Search on Bibsonomy LPAR The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Eduard Cerny, John P. Hayes, Nicholas C. Rumin Accuracy of magnitude-class calculations in switch-level modeling. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
24Chun-Hung Chen, Jacob A. Abraham Generation and evaluation of current and logic tests for switch-level sequential circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF logic tests, test generation, Current tests, I DDQ
24Saul A. Kravitz, Randal E. Bryant, Rob A. Rutenbar Massively parallel switch-level simulation: a feasibility study. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
24Dan Adler Switch-level simulation using dynamic graph algorithms. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
24Prathima Agrawal, Scott H. Robinson, Thomas G. Szymanski Automatic modeling of switch-level networks using partial orders [MOS circuits]. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
24Steven P. Smith, Ramón D. Acosta A Value System for Switch-Level Modeling. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
24Rochit Rajsuman, Yashwant K. Malaiya, Anura P. Jayasumana Limitations of switch level analysis for bridging faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
24Haim E. Mizrahi, Jean-Loup Baer, Edward D. Lazowska, John Zahorjan Introducing Memory into Switch Elements of Multiprocessor Interconnection Networks. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
24Saul A. Kravitz, Randal E. Bryant, Rob A. Rutenbar Massively Parallel Switch-Level Simulation: A Feasibility Study. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
24Genhong Ruan, Jirí Vlach, James A. Barby Current-limited switch-level timing simulator for MOS logic networks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
24Christer Svensson, Robert Tjärnström Switch-level simulation and the pass transistor EXOR gate. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
23Nick McKeown The iSLIP scheduling algorithm for input-queued switches. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF scheduling, IP, ATM switch, crossbar switch, IP router, input-queueing
23Marco Ajmone Marsan, Rossano Gaeta Modeling ATMsystems with GSPNs and SWNs. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Gauss switch, SWN, knockout switch, ATM, LAN, ABR, GSPN
Displaying result #301 - #400 of 9752 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license