The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for thread with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1988 (18) 1989-1990 (15) 1991 (16) 1992-1993 (27) 1994 (20) 1995 (31) 1996 (28) 1997 (48) 1998 (59) 1999 (93) 2000 (107) 2001 (98) 2002 (142) 2003 (157) 2004 (180) 2005 (247) 2006 (283) 2007 (313) 2008 (328) 2009 (314) 2010 (163) 2011 (109) 2012 (89) 2013 (99) 2014 (117) 2015 (114) 2016 (112) 2017 (82) 2018 (111) 2019 (105) 2020 (109) 2021 (87) 2022 (73) 2023 (86) 2024 (20)
Publication types (Num. hits)
article(850) book(5) data(3) incollection(15) inproceedings(3079) phdthesis(47) proceedings(1)
Venues (Conferences, Journals, ...)
IPDPS(109) CoRR(103) PPoPP(66) ISCA(57) PACT(57) HPCA(49) Euro-Par(47) MICRO(47) ASPLOS(46) IEEE Trans. Parallel Distribut...(46) PLDI(46) ICPP(44) ICS(43) ICCD(30) Conf. Computing Frontiers(29) ACM Trans. Archit. Code Optim.(28) More (+10 of total 1202)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3438 occurrences of 1368 keywords

Results
Found 4000 publication records. Showing 4000 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
25Sebastian Meisner, Marco Platzner Thread shadowing: On the effectiveness of error detection at the hardware thread level. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Konstantinos Athanasiou, Peizun Liu, Thomas Wahl Unbounded-Thread Program Verification using Thread-State Equations. Search on Bibsonomy IJCAR The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Kensuke Oda, Hayata Sakai, Kouhei Ohnishi, Eiji Kobayashi Development of a thread testing machine and evaluation of a suture thread with a knot. Search on Bibsonomy ICIT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Myung Kuk Yoon, Keunsoo Kim, Sangpil Lee, Won Woo Ro, Murali Annavaram Virtual Thread: Maximizing Thread-Level Parallelism beyond GPU Scheduling Limit. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Sajith Kalathingal Transforming TLP into DLP with the Dynamic Inter-Thread Vectorization Architecture. (Transformer le TLP en DLP avec l'architecture de vectorization dynamique inter-thread). Search on Bibsonomy 2016   RDF
25Wenming Li, Lingjun Fan, Zihou Wang, Xiaochun Ye, Da Wang, Hao Zhang 0009, Liang Zhang, Dongrui Fan, Xianghui Xie 0001 Thread ID based power reduction mechanism for multi-thread shared set-associative caches. Search on Bibsonomy IGSC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Luka Milic, Leonardo Jelenkovic Improving thread scheduling by thread grouping in heavily loaded many-core processor systems. Search on Bibsonomy MIPRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
25Masayuki Sato 0001, Ryusuke Egawa, Hiroyuki Takizawa, Hiroaki Kobayashi A Capacity-Aware Thread Scheduling Method Combined with Cache Partitioning to Reduce Inter-Thread Cache Conflicts. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
25Steven Hirsch, Ulrich Finkler To Thread or Not to Thread. Search on Bibsonomy IEEE Des. Test The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
25Kumaresh Pattabiraman, Parikshit Sondhi, ChengXiang Zhai Exploiting Forum Thread Structures to Improve Thread Clustering. Search on Bibsonomy ICTIR The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
25Greg Stitt, Frank Vahid Thread Warping: Dynamic and Transparent Synthesis of Thread Accelerators. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
25Patrick Carribault, Marc Pérache, Hervé Jourdren Thread-Local Storage Extension to Support Thread-Based MPI/OpenMP Applications. Search on Bibsonomy IWOMP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
25Qiong Cai, José González 0002, Grigorios Magklis, Pedro Chaparro, Antonio González 0001 Thread shuffling: combining DVFS and thread migration toreduce energy consumptions for multi-core systems. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
25Jun Zhao 0009, Jiajun Bu, Chun Chen 0001, Ziyu Guan, Can Wang 0001, Cheng Zhang Learning a user-thread alignment manifold for thread recommendation in online forum. Search on Bibsonomy CIKM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
25Ju-Ho Hyun Fast mode decision algorithm based on thread-level parallelization and thread slipstreaming in H.264 video coding. Search on Bibsonomy ICME The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
25Tianzhou Chen, Xingsheng Tang, Jianliang Ma, Lihan Ju, Guanjun Jiang, Qingsong Shi Single Thread Program Parallelism with Dataflow Abstracting Thread. Search on Bibsonomy ICA3PP (2) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
25Hua Zhang 0018, Joohan Lee, Ratan K. Guha VCluster: a thread-based Java middleware for SMP and heterogeneous clusters with thread migration support. Search on Bibsonomy Softw. Pract. Exp. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Marc Tremblay, Shailender Chaudhry A Third-Generation 65nm 16-Core 32-Thread Plus 32-Scout-Thread CMT SPARC® Processor. Search on Bibsonomy ISSCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Christian Terboven Comparing Intel Thread Checker and Sun Thread Analyzer. Search on Bibsonomy PARCO The full citation details ... 2007 DBLP  BibTeX  RDF
25Ju Qian, Baowen Xu Thread-Sensitive Pointer Analysis for Inter-Thread Dataflow Detection. Search on Bibsonomy FTDCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Chulho Shin, Seong-Won Lee, Jean-Luc Gaudiot Adaptive dynamic thread scheduling for simultaneous multithreaded architectures with a detector thread. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25John Regehr, Nathan Cooprider Interrupt Verification via Thread Verification. Search on Bibsonomy TV@FLoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Robert P. Cook Thread Verification - An Experience Report. Search on Bibsonomy TV@FLoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Minyoung Sung, Soyoung Kim, Sangsoo Park, Naehyuck Chang, Heonshik Shin Comparative performance evaluation of Java threads for embedded applications: Linux Thread vs. Green Thread. Search on Bibsonomy Inf. Process. Lett. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Stuart Fiske, William J. Dally Thread prioritization: A thread scheduling mechanism for multiple-context parallel processors. Search on Bibsonomy Future Gener. Comput. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
25Stuart Fiske, William J. Dally Thread Prioritization: A Thread Scheduling Mechanism for Multiple-Context Parallel Processors. Search on Bibsonomy HPCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
24Liang Han, Wei Liu 0014, James Tuck 0001 Speculative parallelization of partial reduction variables. Search on Bibsonomy CGO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF reduction variables, parallelization, thread-level speculation, multi-core architecture
24Sebastian Burckhardt, Chris Dern, Madanlal Musuvathi, Roy Tan Line-up: a complete and automatic linearizability checker. Search on Bibsonomy PLDI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF thread safety, atomicity, linearizability
24François Broquedis, Nathalie Furmento, Brice Goglin, Raymond Namyst, Pierre-André Wacrenier Dynamic Task and Data Placement over NUMA Architectures: An OpenMP Runtime Perspective. Search on Bibsonomy IWOMP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Hierarchical Thread Scheduling, Memory, Multi-Core, OpenMP, NUMA
24Seung Woo Son 0001, Mahmut T. Kandemir, Mustafa Karaköy, Dhruva R. Chakrabarti A compiler-directed data prefetching scheme for chip multiprocessors. Search on Bibsonomy PPoPP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF compiler, chip multiprocessors, prefetching, helper thread
24Yangchun Luo, Venkatesan Packirisamy, Wei-Chung Hsu, Antonia Zhai, Nikhil Mungre, Ankit Tarkas Dynamic performance tuning for speculative threads. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parallelism, multicore, dynamic optimization, thread-level speculation
24Dongsoo Kang, Chen Liu 0001, Jean-Luc Gaudiot The Impact of Speculative Execution on SMT Processors. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Speculation control, Simultaneous multithreading, Thread scheduling, Confidence estimator
24François Broquedis, François Diakhaté, Samuel Thibault, Olivier Aumage, Raymond Namyst, Pierre-André Wacrenier Scheduling Dynamic OpenMP Applications over Multicore Architectures. Search on Bibsonomy IWOMP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Hierarchical Thread Scheduling, Multi-Core, OpenMP, SMP, NUMA, Bubbles, Nested Parallelism
24Krishna M. Kavi, Wentong Li, Ali R. Hurson A Non-blocking Multithreaded Architecture with Support for Speculative Threads. Search on Bibsonomy ICA3PP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Cache Coherency, Thread Level Speculation, Multithreaded Architectures, Decoupled Architecture
24Dhiraj D. Kalamkar, Mainak Chaudhuri, Mark A. Heinrich Simplifying Active Memory Clusters by Leveraging Directory Protocol Threads. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF active memory cluster, directory protocol thread, active memory address remapping, parallel reduction, coherence protocol extension, software protocol, multi-threaded node, dual-core node, active memory architecture, distributed shared memory, multiprocessor architecture, memory controller, matrix transpose
24Tirath Ramdas, Gregory K. Egan, David Abramson 0001, Kim K. Baldridge Converting massive TLP to DLP: a special-purpose processor for molecular orbital computations. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF thread-level parallelism, content-addressable memory, vector processing, address generation, data-level parallelism
24Linzhi Ning, Wenbin Yao, Jun Ni, Nianmin Yao Fault-Tolerance CMP Architecture based on SMT Technology. Search on Bibsonomy IMSCCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fault tolerance, CMP, thread, SMT
24Giacomo Cabri, Letizia Leonardi, Raffaele Quitadamo Enabling Java mobile computing on the IBM Jikes research virtual machine. Search on Bibsonomy PPPJ The full citation details ... 2006 DBLP  DOI  BibTeX  RDF thread persistence, distributed applications, Java virtual machine, code mobility
24Zhao-Hui Du, Chu-Cheow Lim, Xiao-Feng Li, Chen Yang, Qingyu Zhao, Tin-Fook Ngai A cost-driven compilation framework for speculative parallelization of sequential programs. Search on Bibsonomy PLDI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cost-driven compilation, speculative parallel threading, loop transformation, thread-level speculation, speculative multithreading, speculative parallelization
24Francisco J. Cazorla, Peter M. W. Knijnenburg, Rizos Sakellariou, Enrique Fernández, Alex Ramírez, Mateo Valero Predictable performance in SMT processors. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF real time, operating systems, multithreading, performance predictability, ILP, thread-level parallelism, SMT
24Steven Swanson, Luke K. McDowell, Michael M. Swift, Susan J. Eggers, Henry M. Levy An evaluation of speculative instruction execution on simultaneous multithreaded processors. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multiprocessors, multithreading, Instruction-level parallelism, speculation, thread-level parallelism, simultaneous multithreading
24Hans-Juergen Boehm Destructors, finalizers, and synchronization. Search on Bibsonomy POPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF destructor, synchronization, garbage collection, deadlock, thread, finalization
24Xinmin Tian, Milind Girkar, Sanjiv Shah, Douglas Armstrong, Ernesto Su, Paul Petersen Compiler and Runtime Support for Running OpenMP Programs on Pentium-and Itanium-Architectures. Search on Bibsonomy HIPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Hyper-Threading technology, Parallelization, OpenMP, compiler optimization, shared-memory multiprocessor, thread-level parallelism
24Xinmin Tian, Milind Girkar, Sanjiv Shah, Douglas Armstrong, Ernesto Su, Paul Petersen Compiler and Runtime Support for Running OpenMP Programs on Pentium- and Itanium-Architectures. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Hyper-Threading technology, Parallelization, OpenMP, compiler optimization, shared-memory multiprocessor, thread-level parallelism
24Robert D. Blumofe, Charles E. Leiserson Scheduling Multithreaded Computations by Work Stealing. Search on Bibsonomy J. ACM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF critical-path length, multiprocessor, randomized algorithm, multithreading, work stealing, thread scheduling
24Wei Shu, Min-You Wu Asynchronous Problems on SIMD Parallel Computers. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF SIMD parallel computers, portable programming environment, irregular and dynamic applications, scalability, load balancing, thread model
24Xiufeng Sui, Junmin Wu, Guoliang Chen 0001, Yixuan Tang, Xiaodong Zhu Augmenting cache partitioning with thread-aware insertion/promotion policies to manage shared caches. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF shared caches, replacement, cache partitioning
24Huan Song, Byoung Whi Kim, Biswanath Mukherjee Multi-thread polling: a dynamic bandwidth distribution scheme in long-reach PON. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Kevin Klues, Chieh-Jan Mike Liang, Jeongyeup Paek, Razvan Musaloiu-Elefteri, Philip Alexander Levis, Andreas Terzis, Ramesh Govindan TOSThreads: thread-safe and non-invasive preemption in TinyOS. Search on Bibsonomy SenSys The full citation details ... 2009 DBLP  DOI  BibTeX  RDF sensor networks, multi-threading, TinyOS
24Sutirtha Sanyal, Sourav Roy, Adrián Cristal, Osman S. Unsal, Mateo Valero Dynamically Filtering Thread-Local Variables in Lazy-Lazy Hardware Transactional Memory. Search on Bibsonomy HPCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Michal Segalov, Tal Lev-Ami, Roman Manevich, Ganesan Ramalingam, Mooly Sagiv Abstract Transformers for Thread Correlation Analysis. Search on Bibsonomy APLAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Qiming Teng, Peter F. Sweeney, Evelyn Duesterwald Understanding the cost of thread migration for multi-threaded Java applications running on a multicore platform. Search on Bibsonomy ISPASS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Tobias Wrigstad, Filip Pizlo, Fadi Meawad, Lei Zhao, Jan Vitek Loci: Simple Thread-Locality for Java. Search on Bibsonomy ECOOP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Bai-Tao Zhou, Joong-Hwan Baek Using Machine Vision to Detect Distinctive Behavioral Phenotypes of Thread-shape Microscopic Organism. Search on Bibsonomy Applications of Computational Intelligence in Biology The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Lei Gao, Ming-che Lai, Zhenghu Gong Exploiting the Thread-Level Parallelism for BGP on Multi-core. Search on Bibsonomy CNSR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallelism, multi-core, BGP
24Wojciech Bozejko, Jaroslaw Pempera, Adam Smutnicki Parallel Single-Thread Strategies in Scheduling. Search on Bibsonomy ICAISC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Cosmin E. Oancea, Alan Mycroft Set-Congruence Dynamic Analysis for Thread-Level Speculation (TLS). Search on Bibsonomy LCPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Tirath Ramdas, Gregory K. Egan, David Abramson 0001, Kim K. Baldridge Run-time thread sorting to expose data-level parallelism. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Jim Stevens Hybridthreads Compiler: Generation of Application Specific Hardware Thread Cores from C. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Samuel Thibault, Raymond Namyst, Pierre-André Wacrenier Building Portable Thread Schedulers for Hierarchical Multiprocessors: The BubbleSched Framework. Search on Bibsonomy Euro-Par The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Scheduling, Multi-Core, Threads, SMP, SMT, NUMA, Bubbles
24Byron Cook, Andreas Podelski, Andrey Rybalchenko Proving thread termination. Search on Bibsonomy PLDI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF model checking, concurrency, formal verification, program verification, threads, termination
24Md. Mafijul Islam Predicting Loop Termination to Boost Speculative Thread-Level Parallelism in Embedded Applications. Search on Bibsonomy SBAC-PAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Peter Lammich, Markus Müller-Olm Precise Fixpoint-Based Analysis of Programs with Thread-Creation and Procedures. Search on Bibsonomy CONCUR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Fengguang Song, Shirley Moore, Jack J. Dongarra Feedback-directed thread scheduling with memory considerations. Search on Bibsonomy HPDC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF affinity graph, distributed shared memory, scientific applications, shared-memory programming
24Mladen Berekovic, Tim Niggemeier A Scalable, Multi-thread, Multi-issue Array Processor Architecture for DSP Applications Based on Extended Tomasulo Scheme. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Alexander Malkis, Andreas Podelski, Andrey Rybalchenko Thread-Modular Verification Is Cartesian Abstract Interpretation. Search on Bibsonomy ICTAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Alex Gontmakher, Avi Mendelson, Assaf Schuster, Gregory Shklover Speculative synchronization and thread management for fine granularity threads. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Arun Kejariwal, Xinmin Tian, Wei Li 0015, Milind Girkar, Sergey Kozhukhov, Hideki Saito 0001, Utpal Banerjee, Alexandru Nicolau, Alexander V. Veidenbaum, Constantine D. Polychronopoulos On the performance potential of different types of speculative thread-level parallelism: The DL version of this paper includes corrections that were not made available in the printed proceedings. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF DOALL loops, value dependence, performance evaluation, data dependence, speculative execution, control dependence
24Ichiro Ide, Hiroshi Mo, Norio Katayama, Shin'ichi Satoh 0001 Exploiting Topic Thread Structures in a News Video Archive for the Semi-Automatic Generation of Video Summaries. Search on Bibsonomy ICME The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Michela Becchi, Patrick Crowley Dynamic thread assignment on heterogeneous multiprocessor architectures. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF simulation, chip multiprocessor, heterogeneous architectures
24Byron Cook, Daniel Kroening, Natasha Sharygina Over-Approximating Boolean Programs with Unbounded Thread Creation. Search on Bibsonomy FMCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Steven P. Reiss Efficient Monitoring and Display of Thread State in Java. Search on Bibsonomy IWPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Laxmisha Rai, Soon-Ju Kang Multi-Thread Based Synchronization of Locomotion Control in Snake Robots. Search on Bibsonomy RTCSA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Fei Wang 0010, Etienne Burdet, Ankur Dhanik, Tim Poston, Chee Leong Teo Dynamic Thread for Real-Time Knot-Tying. Search on Bibsonomy WHC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Chi-Leung Wong, Zehra Sura, Xing Fang, Kyungwoo Lee, Samuel P. Midkiff, Jaejin Lee, David A. Padua Evaluating the Impact of Thread Escape Analysis on a Memory Consistency Model-Aware Compiler. Search on Bibsonomy LCPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Shengyue Wang, Xiaoru Dai, Kiran Yellajyosula, Antonia Zhai, Pen-Chung Yew Loop Selection for Thread-Level Speculation. Search on Bibsonomy LCPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Tom R. Jacobs, José L. Núñez-Yáñez A Thread and Data-Parallel MPEG-4 Video Encoder for a System-On-Chip Multiprocessor. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Hai Jiang 0003, Vipin Chaudhary Process/Thread Migration and Checkpointing in Heterogeneous Distributed Systems. Search on Bibsonomy HICSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Ronny Krashinsky, Christopher Batten, Mark Hampton, Steve Gerding, Brian Pharris, Jared Casper, Krste Asanovic The Vector-Thread Architecture. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Paul Petersen, Sanjiv Shah OpenMP Support in the Intel® Thread Checker. Search on Bibsonomy WOMPAT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Manohar K. Prabhu, Kunle Olukotun Using thread-level speculation to simplify manual parallelization. Search on Bibsonomy PPoPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF feedback-driven optimization, manual parallel programming, chip multiprocessor, multithreading, data speculation
24Hazim Shafi, Evan Speight, John K. Bennett Raptor: Integrating Checkpoints and Thread Migration for Cluster Management. Search on Bibsonomy SRDS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Marcelo H. Cintra, Josep Torrellas Speculative Multithreading Eliminating Squashes through Learning Cross-Thread Violations in Speculative Parallelization for Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Shared-Memory Multiprocessors, Speculative Parallelization
24Cormac Flanagan, Stephen N. Freund, Shaz Qadeer Thread-Modular Verification for Shared-Memory Programs. Search on Bibsonomy ESOP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Wenzhang Zhu, Cho-Li Wang, Francis C. M. Lau 0001 JESSICA2: A Distributed Java Virtual Machine with Transparent Thread Migration Support. Search on Bibsonomy CLUSTER The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Nimar S. Arora, Robert D. Blumofe, C. Greg Plaxton Thread Scheduling for Multiprogrammed Multiprocessors. Search on Bibsonomy Theory Comput. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Karl R. P. H. Leung Extending Statecharts with ad lib and multi-thread features. Search on Bibsonomy APSEC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF ad lib features, multithread features, dynamic reactive systems, software engineering, rules, diagrams, multi-threading, information resources, Web navigation, state diagram
24José Nelson Amaral, Guang R. Gao, Erturk Dogan Kocalar, Patrick O'Neill, Xinan Tang Design and Implementation of an Efficient Thread Partitioning Algorithm. Search on Bibsonomy ISHPC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
24Gabriel Antoniu, Christian Pérez Using Preemptive Thread Migration to Load-Balance Data-Parallel Applications. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
24Jochen Kreuzinger, R. Marston, Theo Ungerer, Uwe Brinkschulte, C. Krakowski The Komodo Project: Thread-based Event Handling Supported by a Multithreaded Java Microcontroller. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
24Lorenz Huelsbergen, James R. Larus, Alexander Aiken Using the Run-Time Sizes of Data Structures to Guide Parallel-Thread Creation. Search on Bibsonomy LISP and Functional Programming The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Standard ML
24Richard Draves, Brian N. Bershad, Richard F. Rashid, Randall W. Dean Using Continuations to Implement Thread Management and Communication in Operating Systems. Search on Bibsonomy SOSP The full citation details ... 1991 DBLP  DOI  BibTeX  RDF Mach
24Thomas E. Anderson, Edward D. Lazowska, Henry M. Levy The Performance Implications of Thread Management Alternatives for Shared-Memory Multiprocessors. Search on Bibsonomy SIGMETRICS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
23Leonid Ryzhyk, Yanjin Zhu, Gernot Heiser The case for active device drivers. Search on Bibsonomy ApSys The full citation details ... 2010 DBLP  DOI  BibTeX  RDF stack ripping, concurrency, device drivers
23Neha Rungta, Eric Mercer Slicing and dicing bugs in concurrent programs. Search on Bibsonomy ICSE (2) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF underapproximation, concurrency, abstraction-refinement
23Jan A. Bergstra, Cornelis A. Middelburg Transmission Protocols for Instruction Streams. Search on Bibsonomy ICTAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Mu-Kai Huang, J. Morris Chang, Wei-Mei Chen Grouping-Based Dynamic Power Management for Multi-threaded Programs in Chip-Multiprocessors. Search on Bibsonomy CSE (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Ephraim Nissan Nested Beliefs, Goals, Duties, and Agents Reasoning About their Own or Each Other's Body in the TIMUR Model: A Formalism for the Narrative of Tamerlane and the Three Painters. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Nested beliefs, Belief ascription, Duties, Social hierarchy, Episodic formulae, TIMUR model, Narrative, Goals, Deception, Embodied agents, Penalty
23Tobias Hilbrich, Matthias S. Müller, Bettina Krammer Detection of Violations to the MPI Standard in Hybrid OpenMP/MPI Applications. Search on Bibsonomy IWOMP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Sherif Fadel Fahmy, Binoy Ravindran, E. Douglas Jensen Scheduling distributable real-time threads in the presence of crash failures and message losses. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF utility accrual, scheduling, distributed systems
Displaying result #301 - #400 of 4000 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license