Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
19 | Narasinga Rao Miniskar, Pankaj Shailendra Gode, Soma Kohli, Donghoon Yoo |
Function inlining and loop unrolling for loop acceleration in reconfigurable processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 15th International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2012, part of the Eighth Embedded Systems Week, ESWeek 2012, Tampere, Finland, October 7-12, 2012, pp. 101-110, 2012, ACM, 978-1-4503-1424-4. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Mounira Bachir, Albert Cohen 0001, Sid Ahmed Ali Touati |
On the effectiveness of register moves to minimise post-pass unrolling in software pipelined loops. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCS ![In: 2012 International Conference on High Performance Computing & Simulation, HPCS 2012, Madrid, Spain, July 2-6, 2012, pp. 551-558, 2012, IEEE, 978-1-4673-2359-8. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Aleksandra Boniewicz, Krzysztof Stencel, Piotr Wisniewski 0001 |
Unrolling SQL: 1999 Recursive Queries. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FGIT-EL/DTA/UNESST ![In: Computer Applications for Database, Education, and Ubiquitous Computing - International Conferences, EL, DTA and UNESST 2012, Held as Part of the Future Generation Information Technology Conference, FGIT 2012, Gangneug, Korea, December 16-19, 2012. Proceedings, pp. 345-354, 2012, Springer, 978-3-642-35602-5. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Weijia Che, Karam S. Chatha |
Unrolling and retiming of stream applications onto embedded multicore processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: The 49th Annual Design Automation Conference 2012, DAC '12, San Francisco, CA, USA, June 3-7, 2012, pp. 1272-1277, 2012, ACM, 978-1-4503-1199-1. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Qijun Zhao, Anil K. Jain 0001, Gil Abramovich |
3D to 2D fingerprints: Unrolling and distortion correction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IJCB ![In: 2011 IEEE International Joint Conference on Biometrics, IJCB 2011, Washington, DC, USA, October 11-13, 2011, pp. 1-8, 2011, IEEE Computer Society, 978-1-4577-1358-3. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Kelly Ward |
Unrolling Rapunzel's hair. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGGRAPH Dailies ![In: International Conference on Computer Graphics and Interactive Techniques, SIGGRAPH 2011, Vancouver, BC, Canada, August 7-11, 2011, Dailies, pp. 24:1, 2011, ACM, 978-1-4503-0968-4. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Mounira Bachir, Frederic Brault, Sid Ahmed Ali Touati, Albert Cohen 0001 |
Loop unrolling minimisation in the presence of multiple register types: A viable alternative to modulo variable expansion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCS ![In: 2011 International Conference on High Performance Computing & Simulation, HPCS 2012, Istanbul, Turkey, July 4-8, 2011, pp. 207-214, 2011, IEEE, 978-1-61284-381-0. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Vladimír Guzma, Teemu Pitkänen, Jarmo Takala |
Effects of loop unrolling and use of instruction buffer on processor energy consumption. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SoC ![In: 2011 International Symposium on System on Chip, SoC 2011, Tampere, Finland, October 31 - November 2, 2011, pp. 82-85, 2011, IEEE, 978-1-4577-0671-4. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Aaron R. Bradley |
SAT-Based Model Checking without Unrolling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VMCAI ![In: Verification, Model Checking, and Abstract Interpretation - 12th International Conference, VMCAI 2011, Austin, TX, USA, January 23-25, 2011. Proceedings, pp. 70-87, 2011, Springer, 978-3-642-18274-7. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Oksana Samko, Yu-Kun Lai, A. David Marshall, Paul L. Rosin |
Segmentation of Parchment Scrolls for Virtual Unrolling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BMVC ![In: British Machine Vision Conference, BMVC 2011, Dundee, UK, August 29 - September 2, 2011. Proceedings, pp. 1-11, 2011, BMVA Press, 1-901725-43-X. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Yuet Ming Lam, José Gabriel F. Coutinho, Chun Hok Ho, Philip Heng Wai Leong, Wayne Luk |
Multiloop Parallelisation Using Unrolling and Fission. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Reconfigurable Comput. ![In: Int. J. Reconfigurable Comput. 2010, pp. 475620:1-475620:10, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
19 | Yosi Ben-Asher, Jawad Haj-Yihia |
Computing the correct Increment of Induction Pointers with application to loop unrolling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Syst. Archit. ![In: J. Syst. Archit. 56(12), pp. 654-666, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
19 | Giridhar Sreenivasa Murthy, Mahesh Ravishankar, Muthu Manikandan Baskaran, Ponnuswamy Sadayappan |
Optimal loop unrolling for GPGPU programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 24th IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2010, Atlanta, Georgia, USA, 19-23 April 2010 - Conference Proceedings, pp. 1-11, 2010, IEEE. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
19 | Shivam Bhasin, Sylvain Guilley, Laurent Sauvage, Jean-Luc Danger |
Unrolling Cryptographic Circuits: A Simple Countermeasure Against Side-Channel Attacks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CT-RSA ![In: Topics in Cryptology - CT-RSA 2010, The Cryptographers' Track at the RSA Conference 2010, San Francisco, CA, USA, March 1-5, 2010. Proceedings, pp. 195-207, 2010, Springer, 978-3-642-11924-8. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
19 | Ozana Silvia Dragomir, Todor P. Stefanov, Koen Bertels |
Optimal Loop Unrolling and Shifting for Reconfigurable Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Reconfigurable Technol. Syst. ![In: ACM Trans. Reconfigurable Technol. Syst. 2(4), pp. 25:1-25:24, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
19 | Paul Lokuciejewski, Peter Marwedel |
Combining Worst-Case Timing Models, Loop Unrolling, and Static Loop Analysis for WCET Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECRTS ![In: 21st Euromicro Conference on Real-Time Systems, ECRTS 2009, Dublin, Ireland, July 1-3, 2009, pp. 35-44, 2009, IEEE Computer Society, 978-0-7695-3724-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
WCET minimization, WCET-driven optimizations, High-Level Optimizations, compiler, WCET |
19 | Shaobo Liu, Meikang Qiu |
A Discrete Dynamic Voltage and Frequency Scaling Algorithm Based on Task Graph Unrolling for Multiprocessor System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ScalCom-EmbeddedCom ![In: International Conference on Scalable Computing and Communications / Eighth International Conference on Embedded Computing, ScalCom-EmbeddedCom 2009, Dalian, China, September 25-27, 2009, pp. 3-8, 2009, IEEE Computer Society, 978-0-7695-3825-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
19 | Roger Ferrer, Alejandro Duran, Xavier Martorell, Eduard Ayguadé |
Unrolling Loops Containing Task Parallelism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, 22nd International Workshop, LCPC 2009, Newark, DE, USA, October 8-10, 2009, Revised Selected Papers, pp. 416-423, 2009, Springer, 978-3-642-13373-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
19 | Mounira Bachir, David Gregg, Sid Ahmed Ali Touati |
Using the Meeting Graph Framework to Minimise Kernel Loop Unrolling for Scheduled Loops. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, 22nd International Workshop, LCPC 2009, Newark, DE, USA, October 8-10, 2009, Revised Selected Papers, pp. 278-292, 2009, Springer, 978-3-642-13373-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
19 | Yuet Ming Lam, José Gabriel F. Coutinho, Wayne Luk, Philip Heng Wai Leong |
Unrolling-based loop mapping and scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPT ![In: 2008 International Conference on Field-Programmable Technology, FPT 2008, Taipei, Taiwan, December 7-10, 2008, pp. 321-324, 2008, IEEE, 978-1-4244-2796-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
19 | Nicholas Nethercote, Doug Burger, Kathryn S. McKinley |
Convergent Compilation Applied to Loop Unrolling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Trans. High Perform. Embed. Archit. Compil. ![In: Transactions on High-Performance Embedded Architectures and Compilers I, pp. 140-158, 2007, Springer, 978-3-540-71527-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
19 | Robert P. Goldman, David J. Musliner, Mark S. Boddy, Edmund H. Durfee, Jianhui Wu 0006 |
"Unrolling" Complex Task Models into MDPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AAAI Spring Symposium: Game Theoretic and Decision Theoretic Agents ![In: Game Theoretic and Decision Theoretic Agents, Papers from the 2007 AAAI Spring Symposium, Technical Report SS-07-02, Stanford, California, USA, March 26-28, 2007, pp. 23-30, 2007, AAAI. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP BibTeX RDF |
|
19 | Karine Heydemann, François Bodin, Peter M. W. Knijnenburg, Laurent Morin |
UFS: a global trade-off strategy for loop unrolling for VLIW architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Concurr. Comput. Pract. Exp. ![In: Concurr. Comput. Pract. Exp. 18(11), pp. 1413-1434, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
19 | Haralambos Michail, Athanasios Kakarountas, George N. Selimis, Costas E. Goutis |
Optimizing SHA-1 Hash Function for High Throughput with a Partial Unrolling Study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PATMOS ![In: Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation, 15th International Workshop, PATMOS 2005, Leuven, Belgium, September 21-23, 2005, Proceedings, pp. 591-600, 2005, Springer, 3-540-29013-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
19 | Peter M. W. Knijnenburg, Toru Kisuki, Kyle A. Gallivan, Michael F. P. O'Boyle |
The effect of cache models on iterative compilation for combined tiling and unrolling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Concurr. Comput. Pract. Exp. ![In: Concurr. Comput. Pract. Exp. 16(2-3), pp. 247-270, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
19 | Yunyang Dai, Qing Li 0001, Qi Zhang 0024, C.-C. Jay Kuo |
SIMD - efficient loop unrolling design for embedded multimedia applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICME ![In: Proceedings of the 2004 IEEE International Conference on Multimedia and Expo, ICME 2004, 27-30 June 2004, Taipei, Taiwan, pp. 1851-1854, 2004, IEEE Computer Society, 0-7803-8603-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP BibTeX RDF |
|
19 | Ulrik Brandes, Steven R. Corman |
Visual unrolling of network evolution and the analysis of dynamic discourse? ![Search on Bibsonomy](Pics/bibsonomy.png) |
Inf. Vis. ![In: Inf. Vis. 2(1), pp. 40-50, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
19 | Litong Song, Yuhua Zhang, Krishna M. Kavi |
Loop Transformation Techniques To Aid In Loop Unrolling and Multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDCS ![In: Proceedings of the ISCA 16th International Conference on Parallel and Distributed Computing Systems, August 13-15, 2003, Atlantis Hotel, Reno, Nevada, USA, pp. 126-131, 2003, ISCA, 1-880843-48-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
19 | Vivek Sarkar |
Optimized Unrolling of Nested Loops. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Parallel Program. ![In: Int. J. Parallel Program. 29(5), pp. 545-581, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
19 | Fermín Sánchez, Jordi Cortadella, Rosa M. Badia |
Optimal exploration of the unrolling degree for software pipelining. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Syst. Archit. ![In: J. Syst. Archit. 45(6-7), pp. 505-517, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
19 | Ying Zhao, Sharad Malik |
Exact Memory Size Estimation for Array Computations without Loop Unrolling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 36th Conference on Design Automation, New Orleans, LA, USA, June 21-25, 1999., pp. 811-816, 1999, ACM Press. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
19 | Sin Yeung Lee, Tok Wang Ling |
Unrolling Cycles to Decide Trigger Termination. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLDB ![In: VLDB'99, Proceedings of 25th International Conference on Very Large Data Bases, September 7-10, 1999, Edinburgh, Scotland, UK, pp. 483-493, 1999, Morgan Kaufmann, 1-55860-615-7. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP BibTeX RDF |
|
19 | Nobuhiro Kondo, Akira Koseki, Hideaki Komatsu, Yoshiaki Fukazawa |
A method for applying loop unrolling and software pipelining to instruction-level parallel architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Syst. Comput. Jpn. ![In: Syst. Comput. Jpn. 29(9), pp. 62-73, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
19 | Rajeev Barua, Walter Lee, Saman P. Amarasinghe, Anant Agarwal |
Memory bank disambiguation using modulo unrolling for Raw machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPC ![In: 5th International Conference On High Performance Computing, HiPC 1998, Madras, India, 20-20 December, 1998, pp. 212-220, 1998, IEEE Computer Society, 0-8186-9194-8. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
19 | Hui Guo 0001, Sri Parameswaran |
Unrolling Loops With Indeterminate Loop Counts in System Level Pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the ASP-DAC '98, Asia and South Pacific Design Automation Conference 1998, Pacifico Yokohama, Yokohama, Japan, February 10-13, 1998, pp. 99-104, 1998, IEEE, 0-7803-4425-1. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
19 | Hesham El-Rewini, Hesham H. Ali |
On Optimal Loop Unrolling in Two-processor Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Parallel Algorithms Appl. ![In: Parallel Algorithms Appl. 7(1-2), pp. 107-119, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
19 | Jack W. Davidson, Sanjay Jinturkar |
Improving instruction-level parallelism by loop unrolling and dynamic memory disambiguation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 28th Annual International Symposium on Microarchitecture, Ann Arbor, Michigan, USA, November 29 - December 1, 1995, pp. 125-132, 1995, ACM / IEEE Computer Society, 0-8186-7349-4. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
19 | Zhong Shao, John H. Reppy, Andrew W. Appel |
Unrolling Lists. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LISP and Functional Programming ![In: Proceedings of the 1994 ACM Conference on LISP and Functional Programming, Orlando, Florida, USA, 27-29 June 1994., pp. 185-195, 1994, ACM, 0-89791-643-3. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
19 | Thorn Grace |
Fast Span conversion: Unrolling Short Loops. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Graphics Gems III ![In: Graphics Gems III (IBM Version), pp. 355-357, 1992, Academic Press, 978-0-12-409673-8. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
19 | Henri-Pierre Charles |
Loop unrolling for processors with instruction cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Algorithms and Parallel VLSI Architectures ![In: Algorithms and Parallel VLSI Architectures II, Proceedings of the International Workshop Algorithms and Parallel VLSI Architectures II, Château de Bonas, Gers, France, June 3-6, 1991, pp. 311-316, 1991, Elsevier, 0-444-89153-6. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP BibTeX RDF |
|
19 | Karel Culík II, Jan K. Pachl |
Folding and Unrolling Systolic Arrays (Preliminary Version). ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODC ![In: ACM SIGACT-SIGOPS Symposium on Principles of Distributed Computing, Ottawa, CanadaAugust 18-20, 1982, pp. 254-261, 1982, ACM, 0-89791-081-8. The full citation details ...](Pics/full.jpeg) |
1982 |
DBLP DOI BibTeX RDF |
|
19 | Jack J. Dongarra, A. R. Hinds |
Unrolling Loops in FORTRAN. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Softw. Pract. Exp. ![In: Softw. Pract. Exp. 9(3), pp. 219-226, 1979. The full citation details ...](Pics/full.jpeg) |
1979 |
DBLP DOI BibTeX RDF |
|
12 | Yi Yang 0018, Ping Xiang, Jingfei Kong, Huiyang Zhou |
A GPGPU compiler for memory optimization and parallelism management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the 2010 ACM SIGPLAN Conference on Programming Language Design and Implementation, PLDI 2010, Toronto, Ontario, Canada, June 5-10, 2010, pp. 86-97, 2010, ACM, 978-1-4503-0019-3. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
compiler, gpgpu |
12 | Paul M. Carpenter, Alex Ramírez, Eduard Ayguadé |
The Abstract Streaming Machine: Compile-Time Performance Modelling of Stream Programs on Heterogeneous Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAMOS ![In: Embedded Computer Systems: Architectures, Modeling, and Simulation, 9th International Workshop, SAMOS 2009, Samos, Greece, July 20-23, 2009. Proceedings, pp. 12-23, 2009, Springer, 978-3-642-03137-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
12 | Malay K. Ganai, Sudipta Kundu |
Reduction of Verification Conditions for Concurrent System Using Mutually Atomic Transactions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPIN ![In: Model Checking Software, 16th International SPIN Workshop, Grenoble, France, June 26-28, 2009. Proceedings, pp. 68-87, 2009, Springer, 978-3-642-02651-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
12 | Sheng Ma, Libo Huang, Zhiying Wang 0003, Kui Dai |
Implementation of OpenVG Path and Paint Algorithms on Synchronous Data Triggered Architecture with Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NAS ![In: International Conference on Networking, Architecture, and Storage, NAS 2009, 9-11 July 2009, Zhang Jia Jie, Hunan, China, pp. 379-385, 2009, IEEE Computer Society, 978-0-7695-3741-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
12 | Liu Peng, Richard Seymour, Ken-ichi Nomura, Rajiv K. Kalia, Aiichiro Nakano, Priya Vashishta, Alexander Loddoch, Michael Netzband, William R. Volz, Chap C. Wong |
High-order stencil computations on multicore clusters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 23rd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2009, Rome, Italy, May 23-29, 2009, pp. 1-11, 2009, IEEE. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
12 | Sudipta Kundu, Zachary Tatlock, Sorin Lerner |
Proving optimizations correct using parameterized program equivalence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the 2009 ACM SIGPLAN Conference on Programming Language Design and Implementation, PLDI 2009, Dublin, Ireland, June 15-21, 2009, pp. 327-337, 2009, ACM, 978-1-60558-392-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
compiler optimization, correctness, translation validation |
12 | Boyana Norris, Albert Hartono, Elizabeth R. Jessup, Jeremy G. Siek |
Generating Empirically Optimized Composed Matrix Kernels from MATLAB Prototypes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCS (1) ![In: Computational Science - ICCS 2009, 9th International Conference, Baton Rouge, LA, USA, May 25-27, 2009, Proceedings, Part I, pp. 248-258, 2009, Springer, 978-3-642-01969-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
empirical performance tuning, code generation, MATLAB |
12 | Reiley Jeyapaul, Sandeep Marathe, Aviral Shrivastava |
Code Transformations for TLB Power Reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: VLSI Design 2009: Improving Productivity through Higher Abstraction, The 22nd International Conference on VLSI Design, New Delhi, India, 5-9 January 2009, pp. 413-418, 2009, IEEE Computer Society, 978-0-7695-3506-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
12 | Mohammed Fellahi, Albert Cohen 0001 |
Software Pipelining in Nested Loops with Prolog-Epilog Merging. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPEAC ![In: High Performance Embedded Architectures and Compilers, Fourth International Conference, HiPEAC 2009, Paphos, Cyprus, January 25-28, 2009. Proceedings, pp. 80-94, 2009, Springer, 978-3-540-92989-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
12 | Natasa Miskov-Zivanov, Diana Marculescu |
Modeling and Optimization for Soft-Error Reliability of Sequential Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(5), pp. 803-816, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Paul Biggar, Nicholas Nash, Kevin Williams 0001, David Gregg |
An experimental study of sorting and branch prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM J. Exp. Algorithmics ![In: ACM J. Exp. Algorithmics 12, pp. 1.8:1-1.8:39, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
caching, Sorting, branch prediction, pipeline architectures |
12 | Vasco Brattka |
Borel complexity and computability of the Hahn-Banach Theorem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Arch. Math. Log. ![In: Arch. Math. Log. 46(7-8), pp. 547-564, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Mathematics Subject Classification (2000) 03F60, 46S30, 03E15 |
12 | Dilip P. Vasudevan, Aristides Efthymiou |
A Partial Scan Based Test Generation for Asynchronous Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DDECS ![In: Proceedings of the 11th IEEE Workshop on Design & Diagnostics of Electronic Circuits & Systems (DDECS 2008), Bratislava, Slovakia, April 16-18, 2008, pp. 186-189, 2008, IEEE Computer Society, 978-1-4244-2276-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Li Wang 0027, Xuejun Yang, Jingling Xue, Yu Deng 0001, Xiaobo Yan, Tao Tang 0001, Quan Hoang Nguyen 0001 |
Optimizing scientific application loops on stream processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2008 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'08), Tucson, AZ, USA, June 12-13, 2008, pp. 161-170, 2008, ACM, 978-1-60558-104-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
streaming, prefetching, graph coloring, data reuse, loop optimization, stream processor, software-managed cache |
12 | Jing Du 0002, Fujiang Ao, Xuejun Yang |
MV-FT: Efficient Implementation for Matrix-Vector Multiplication on FT64 Stream Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDS ![In: Second International Conference on the Digital Society (ICDS 2008), February 10-15, 2008, Sainte Luce, Martinique, France, pp. 134-139, 2008, IEEE Computer Society, 978-0-7695-3087-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Pingjing Lu, Yonggang Che, Zhenghua Wang |
An Effective Iterative Compilation Search Algorithm for High Performance Computing Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCC ![In: 10th IEEE International Conference on High Performance Computing and Communications, HPCC 2008, 25-27 Sept. 2008, Dalian, China, pp. 368-373, 2008, IEEE Computer Society, 978-0-7695-3352-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Suhyun Kim, Soo-Mook Moon |
Rotating register allocation with multiple rotating branches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 22nd Annual International Conference on Supercomputing, ICS 2008, Island of Kos, Greece, June 7-12, 2008, pp. 235-244, 2008, ACM, 978-1-60558-158-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
rotating register, register allocation, software pipelining |
12 | Muthu Manikandan Baskaran, Uday Bondhugula, Sriram Krishnamoorthy, J. Ramanujam, Atanas Rountev, P. Sadayappan |
A compiler framework for optimization of affine loop nests for gpgpus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 22nd Annual International Conference on Supercomputing, ICS 2008, Island of Kos, Greece, June 7-12, 2008, pp. 225-234, 2008, ACM, 978-1-60558-158-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
empirical tuning, memory access optimization, GPU, polyhedral model |
12 | Mila Dalla Preda, Roberto Giacobazzi, Enrico Visentini |
Hiding Software Watermarks in Loop Structures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAS ![In: Static Analysis, 15th International Symposium, SAS 2008, Valencia, Spain, July 16-18, 2008. Proceedings, pp. 174-188, 2008, Springer, 978-3-540-69163-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Nicolas Caniart, Emmanuel Fleury, Jérôme Leroux, Marc Zeitoun |
Accelerating Interpolation-Based Model-Checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TACAS ![In: Tools and Algorithms for the Construction and Analysis of Systems, 14th International Conference, TACAS 2008, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2008, Budapest, Hungary, March 29-April 6, 2008. Proceedings, pp. 428-442, 2008, Springer, 978-3-540-78799-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Frederico Pratas, Georgi Gaydadjiev, Mladen Berekovic, Leonel Sousa, Stefanos Kaxiras |
Low power microarchitecture with instruction reuse. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the 5th Conference on Computing Frontiers, 2008, Ischia, Italy, May 5-7, 2008, pp. 149-158, 2008, ACM, 978-1-60558-077-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
loop reusing technique, reorder buffer optimization, superscalar processor, power reduction |
12 | Malay K. Ganai, Aarti Gupta |
Tunneling and slicing: towards scalable BMC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 137-142, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
CFG, partitioning, slice, SMT, tunnel, EFSM, CSR, BMC |
12 | Scott Pakin |
The Design and Implementation of a Domain-Specific Language for Network Performance Testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 18(10), pp. 1436-1449, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Interprocessor communications, Measurement techniques, Specialized application languages |
12 | Johann Großschädl, Stefan Tillich, Christian Rechberger, Michael Hofmann 0007, Marcel Medwed |
Energy evaluation of software implementations of block ciphers under memory constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007, pp. 1110-1115, 2007, EDA Consortium, San Jose, CA, USA, 978-3-9810801-2-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
energy optimization, code size reduction, symmetric cipher, lightweight cryptography, memory footprint |
12 | Desta Tadesse, D. Sheffield, E. Lenge, R. Iris Bahar, Joel Grodstein |
Accurate timing analysis using SAT and pattern-dependent delay models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007, pp. 1018-1023, 2007, EDA Consortium, San Jose, CA, USA, 978-3-9810801-2-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
12 | Johann Großschädl, Stefan Tillich, Alexander Szekely |
Performance Evaluation of Instruction Set Extensions for Long Integer Modular Arithmetic on a SPARC V8 Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: Tenth Euromicro Conference on Digital System Design: Architectures, Methods and Tools (DSD 2007), 29-31 August 2007, Lübeck, Germany, pp. 680-689, 2007, IEEE Computer Society, 0-7695-2978-X. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
12 | Malay K. Ganai, Aarti Gupta |
Efficient BMC for Multi-Clock Systems with Clocked Specifications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 12th Conference on Asia South Pacific Design Automation, ASP-DAC 2007, Yokohama, Japan, January 23-26, 2007, pp. 310-315, 2007, IEEE Computer Society, 1-4244-0629-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
OpenCores multiclock system benchmarks, clocked specifications, multiphased clocks, level-sensitive latches, SAT-based bounded model checking, synchronous multiclock systems, clocked LTL properties, clock modeling schemes, clock constraints, loop-checks, gated clocks |
12 | Pan Yu, Tulika Mitra |
Disjoint Pattern Enumeration for Custom Instructions Identification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: FPL 2007, International Conference on Field Programmable Logic and Applications, Amsterdam, The Netherlands, 27-29 August 2007, pp. 273-278, 2007, IEEE, 1-4244-1060-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
12 | Qing Yi, Keith Seymour, Haihang You, Richard W. Vuduc, Daniel J. Quinlan |
POET: Parameterized Optimizations for Empirical Tuning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), Proceedings, 26-30 March 2007, Long Beach, California, USA, pp. 1-8, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
12 | Yaobin Wang, Hong An, Bo Liang, Li Wang, Ming Cong, Yongqing Ren |
Balancing Thread Partition for Efficiently Exploiting Speculative Thread-Level Parallelism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APPT ![In: Advanced Parallel Processing Technologies, 7th International Symposium, APPT 2007, Guangzhou, China, November 22-23, 2007, Proceedings, pp. 40-49, 2007, Springer, 978-3-540-76836-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
12 | Joonseok Park, Pedro C. Diniz |
Partial Data Reuse for Windowing Computations: Performance Modeling for FPGA Implementations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARC ![In: Reconfigurable Computing: Architectures, Tools and Applications, Third International Workshop, ARC 2007, Mangaratiba, Brazil, March 27-29, 2007., pp. 97-109, 2007, Springer, 978-3-540-71430-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
scalar replacement, loop splitting, loop interchange, Field Programmable Gate Arrays (FPGA), Reconfigurable Computing, data reuse |
12 | Dirk Beyer 0001, Thomas A. Henzinger, Rupak Majumdar, Andrey Rybalchenko |
Path invariants. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN 2007 Conference on Programming Language Design and Implementation, San Diego, California, USA, June 10-13, 2007, pp. 300-309, 2007, ACM, 978-1-59593-633-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
invariant synthesis, formal verification, software model checking, predicate abstraction, abstraction refinement |
12 | Martin Holzer 0002, Bastian Knerr, Markus Rupp |
Design Space Exploration with Evolutionary Multi-Objective Optimisation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIES ![In: IEEE Second International Symposium on Industrial Embedded Systems, SIES 2007, Hotel Costa da Caparica, Lisbon, Portugal, July 4-6, 2007, pp. 126-133, 2007, IEEE, 1-4244-0840-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
12 | Viral K. Parikh, Poras T. Balsara, Oren E. Eliezer, Jaimin Mehta |
A Low Area and Low Power Digital Band-Pass Sigma-Delta Modulator for Wireless Transmitters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2007), 27-20 May 2007, New Orleans, Louisiana, USA, pp. 3279-3282, 2007, IEEE, 1-4244-0920-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
12 | Miao Wang, Guiming Wu, Zhiying Wang 0003 |
Instruction Selection for Subword Level Parallelism Optimizations for Application Specific Instruction Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPA ![In: Parallel and Distributed Processing and Applications, 5th International Symposium, ISPA 2007, Niagara Falls, Canada, August 29-31, 2007, Proceedings, pp. 946-957, 2007, Springer, 978-3-540-74741-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
12 | Naveen Neelakantam, Ravi Rajwar, Suresh Srinivas, Uma Srinivasan 0003, Craig B. Zilles |
Hardware atomicity for reliable software speculation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 34th International Symposium on Computer Architecture (ISCA 2007), June 9-13, 2007, San Diego, California, USA, pp. 174-185, 2007, ACM, 978-1-59593-706-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Java, optimization, checkpoint, atomicity, speculation, isolation |
12 | Piotr Dollár, Vincent C. Rabaud, Serge J. Belongie |
Non-isometric manifold learning: analysis and an algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICML ![In: Machine Learning, Proceedings of the Twenty-Fourth International Conference (ICML 2007), Corvallis, Oregon, USA, June 20-24, 2007, pp. 241-248, 2007, ACM, 978-1-59593-793-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
12 | Sadaf R. Alam, Jeremy S. Meredith, Jeffrey S. Vetter |
Balancing productivity and performance on the cell broadband engine. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLUSTER ![In: Proceedings of the 2007 IEEE International Conference on Cluster Computing, 17-20 September 2007, Austin, Texas, USA, pp. 149-158, 2007, IEEE Computer Society, 978-1-4244-1387-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
12 | Alireza Hodjat, Ingrid Verbauwhede |
Area-Throughput Trade-Offs for Fully Pipelined 30 to 70 Gbits/s AES Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 55(4), pp. 366-372, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
crypto-processor, security, VLSI, cryptography, Advanced Encryption Standard (AES), ASIC, hardware architectures |
12 | Dhananjay Kulkarni, Walid A. Najjar, Robert Rinker, Fadi J. Kurdahi |
Compile-time area estimation for LUT-based FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 11(1), pp. 104-122, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Reconfigurable computing, compiler optimization, resource estimation |
12 | Sung-Chul Han, Franz Franchetti, Markus Püschel |
Program generation for the all-pairs shortest path problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 15th International Conference on Parallel Architectures and Compilation Techniques (PACT 2006), Seattle, Washington, USA, September 16-20, 2006, pp. 222-232, 2006, ACM, 1-59593-264-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Floyd-Warshall algorithm, SIMD vectorization, empirical search, tiling, blocking |
12 | Abhishek Das, William J. Dally, Peter R. Mattson |
Compiling for stream processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 15th International Conference on Parallel Architectures and Compilation Techniques (PACT 2006), Seattle, Washington, USA, September 16-20, 2006, pp. 33-42, 2006, ACM, 1-59593-264-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
SRF allocation, Stream Operation Precedence (SOP) graph, StreamC, coarse-grained operations, producer-consumer locality, scoreboard slot assignment, stream scheduling, strip-mining, software-pipelining, task level parallelism, stream programming model |
12 | Robert P. McEvoy, Francis M. Crowe, Colin C. Murphy, William P. Marnane |
Optimisation of the SHA-2 Family of Hash Functions on FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2006 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2006), 2-3 March 2006, Karlsruhe, Germany, pp. 317-322, 2006, IEEE Computer Society, 0-7695-2533-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
12 | Anuja Jayraj Thakkar, Abdel Ejnioui |
Pipelining of double precision floating point division and square root operations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Southeast Regional Conference ![In: Proceedings of the 44st Annual Southeast Regional Conference, 2006, Melbourne, Florida, USA, March 10-12, 2006, pp. 488-493, 2006, ACM, 1-59593-315-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
FPGA, pipelining, floating point, division, square root |
12 | Simon D. Hammond, David Lacey |
Loop Transformations in the Ahead-of-Time Optimization of Java Bytecode. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 15th International Conference, CC 2006, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2006, Vienna, Austria, March 30-31, 2006, Proceedings, pp. 109-123, 2006, Springer, 3-540-33050-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
12 | George Ferizis, Hossam A. ElGindy |
Mapping Recursive Functions to Reconfigurable Hardware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: Proceedings of the 2006 International Conference on Field Programmable Logic and Applications (FPL), Madrid, Spain, August 28-30, 2006, pp. 1-6, 2006, IEEE, 1-4244-0312-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
12 | Konrad Malkowski, Ingyu Lee, Padma Raghavan, Mary Jane Irwin |
On improving performance and energy profiles of sparse scientific applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece, 2006, IEEE. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
12 | Jae-Jin Lee, Gi-Yong Song |
High-Level Synthesis Using SPARK and Systolic Array. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARC ![In: Reconfigurable Computing: Architectures and Applications, Second International Workshop, ARC 2006, Delft, The Netherlands, March 1-3, 2006, Revised Selected Papers, pp. 455-460, 2006, Springer. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
12 | Mun-Kyu Lee, Jung Ki Min, Seok Hun Kang, Sang-Hwa Chung, Howon Kim 0001, Dong Kyue Kim |
Efficient Implementation of Pseudorandom Functions for Electronic Seal Protection Protocols. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WISA ![In: Information Security Applications, 7th International Workshop, WISA 2006, Jeju Island, Korea, August 28-30, 2006, Revised Selected Papers, pp. 173-186, 2006, Springer, 978-3-540-71092-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
electronic seal, RFID, AES, message authentication code, pseudorandom function |
12 | Marshall R. Mayberry, Risto Miikkulainen |
Broad-Coverage Parsing with Neural Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Neural Process. Lett. ![In: Neural Process. Lett. 21(2), pp. 121-132, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
recursive autoassociative memory, simple recurrent network, neural networks, natural language processing, cognitive modeling, parsing |
12 | Xiaohua Hu 0001 |
A Data Mining Approach for Retailing Bank Customer Attrition Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Appl. Intell. ![In: Appl. Intell. 22(1), pp. 47-60, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
attrition analysis, data mining, classification method |
12 | Gregorio Bernabé, José M. García 0001, José González 0002 |
Reducing 3D Fast Wavelet Transform Execution Time Using Blocking and the Streaming SIMD Extensions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 41(2), pp. 209-223, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Streaming SIMD extensions, reuse, vectorization, video compression, blocking, 3D wavelet transform |
12 | Andrea Ferrara, Guoqiang Pan, Moshe Y. Vardi |
Treewidth in Verification: Local vs. Global. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LPAR ![In: Logic for Programming, Artificial Intelligence, and Reasoning, 12th International Conference, LPAR 2005, Montego Bay, Jamaica, December 2-6, 2005, Proceedings, pp. 489-503, 2005, Springer, 3-540-30553-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Jacob Katz, Ziyad Hanna, Nachum Dershowitz |
Space-Efficient Bounded Model Checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2005 Design, Automation and Test in Europe Conference and Exposition (DATE 2005), 7-11 March 2005, Munich, Germany, pp. 686-687, 2005, IEEE Computer Society, 0-7695-2288-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Michael D. Bond, Kathryn S. McKinley |
Practical Path Profiling for Dynamic Optimizers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: 3nd IEEE / ACM International Symposium on Code Generation and Optimization (CGO 2005), 20-23 March 2005, San Jose, CA, USA, pp. 205-216, 2005, IEEE Computer Society, 0-7695-2298-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Franz Franchetti, Yevgen Voronenko, Markus Püschel |
Formal loop merging for signal transforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN 2005 Conference on Programming Language Design and Implementation, Chicago, IL, USA, June 12-15, 2005, pp. 315-326, 2005, ACM, 1-59593-056-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
linear signal transform, domain-specific language, DFT, discrete Fourier transform, loop optimization, automatic performance tuning |
12 | Kamen Yotov, Keshav Pingali, Paul Stodghill |
Think globally, search locally. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 19th Annual International Conference on Supercomputing, ICS 2005, Cambridge, Massachusetts, USA, June 20-22, 2005, pp. 141-150, 2005, ACM, 1-59593-167-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Canqun Yang, Xuejun Yang, Jingling Xue |
Improving the Performance of GCC by Exploiting IA-64 Architectural Features. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia-Pacific Computer Systems Architecture Conference ![In: Advances in Computer Systems Architecture, 10th Asia-Pacific Conference, ACSAC 2005, Singapore, October 24-26, 2005, Proceedings, pp. 236-251, 2005, Springer, 3-540-29643-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|