The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for timing with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1962-1969 (16) 1970-1974 (17) 1975-1976 (20) 1977-1979 (20) 1980-1982 (26) 1983 (15) 1984-1985 (49) 1986 (40) 1987 (40) 1988 (60) 1989 (70) 1990 (106) 1991 (108) 1992 (98) 1993 (105) 1994 (169) 1995 (226) 1996 (211) 1997 (250) 1998 (263) 1999 (334) 2000 (379) 2001 (385) 2002 (598) 2003 (642) 2004 (803) 2005 (881) 2006 (1067) 2007 (1017) 2008 (1041) 2009 (734) 2010 (469) 2011 (452) 2012 (403) 2013 (441) 2014 (427) 2015 (454) 2016 (479) 2017 (486) 2018 (495) 2019 (475) 2020 (429) 2021 (411) 2022 (406) 2023 (432) 2024 (96)
Publication types (Num. hits)
article(5049) book(9) data(2) incollection(43) inproceedings(10844) phdthesis(177) proceedings(21)
Venues (Conferences, Journals, ...)
PATMOS(927) DAC(547) IEEE Trans. Comput. Aided Des....(462) ICCAD(338) CoRR(336) DATE(336) ASP-DAC(223) IEEE Trans. Commun.(210) IEEE Trans. Very Large Scale I...(198) ISCAS(197) ISQED(179) VLSI Design(142) ISPD(131) RTSS(127) ICCD(126) ACM Great Lakes Symposium on V...(125) More (+10 of total 2487)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 9063 occurrences of 3443 keywords

Results
Found 16149 publication records. Showing 16145 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
23Christophe Alexandre, Marek Sroka, Hugo Clément, Christian Masson Zephyr: A Static Timing Analyzer Integrated in a Trans-hierarchical Refinement Design Flow. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Daniel Lima Ferrão, Ricardo Reis 0001, José Luís Almada Güntzel Considering Zero-Arrival Time and Block-Arrival Time in Hierarchical Functional Timing Analysis. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Eduardo Tavares, Raimundo S. Barreto, Paulo Romero Martins Maciel, Meuse N. Oliveira Jr., Adilson Arcoverde, Gabriel Alves, Ricardo Massa Ferreira Lima, Leonardo Barros, Arthur Bessa An Integrated Environment for Embedded Hard Real-Time Systems Scheduling with Timing and Energy Constraints. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Nick Kanopoulos Design Methodology for Rapid Development of SoC ICs Based on an Innovative System Architecture with Emphasis to Timing Closure and Power Consumption Optimization. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Seong-Ook Jung, Ki-Wook Kim, Sung-Mo Kang Timing constraints for domino logic gates with timing-dependent keepers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Tod Amon, Gaetano Borriello, Taokuan Hu, Jiwen Liu Symbolic Timing Verification of Timing Diagrams using Presburger Formulas. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
23Jin-Tai Yan, Zhi-Wei Chen Resource-constrained timing-driven link insertion for critical delay reduction. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF critical delay, link insertion, non-tree
23Lin Xie, Azadeh Davoodi Representative path selection for post-silicon timing prediction under variability. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF process variations, post-silicon validation
23Takashi Enami, Shinyu Ninomiya, Masanori Hashimoto Statistical Timing Analysis Considering Spatially and Temporally Correlated Dynamic Power Supply Noise. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Minxue Pan, Lei Bu, Xuandong Li TASS: Timing Analyzer of Scenario-Based Specifications. Search on Bibsonomy CAV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23HaNeul Chon, Taewhan Kim Timing variation-aware task scheduling and binding for MPSoC. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Yi Wang, Ping Chen 0003, Yi Ge, Bing Mao, Li Xie 0001 Traffic Controller: A Practical Approach to Block Network Covert Timing Channel. Search on Bibsonomy ARES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Yunchuan Guo, Lihua Yin, Yuan Zhou, Chao Li 0027, Li Guo 0001 Simulation Analysis of Probabilistic Timing Covert Channels. Search on Bibsonomy NAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Menguc Oner On the effects of random timing jitter on spectrum sensing algorithms based on cyclostationarity. Search on Bibsonomy CCECE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Bart Coppens 0001, Ingrid Verbauwhede, Koen De Bosschere, Bjorn De Sutter Practical Mitigations for Timing-Based Side-Channel Attacks on Modern x86 Processors. Search on Bibsonomy SP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Ramamurthy Vishweshwara, Ramakrishnan Venkatraman, H. Udayakumar, N. V. Arvind An Approach to Measure the Performance Impact of Dynamic Voltage Fluctuations Using Static Timing Analysis. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Jinjun Xiong, Chandu Visweswariah, Vladimir Zolotov Statistical ordering of correlated timing quantities and its application for path ranking. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF path ranking, statistical ordering, testing, correlation
23Lin Wu, Vincenzo Lottici, Zhi Tian Maximum Likelihood Multiple Access Timing Synchronization for UWB Communications. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Ruiming Chen, Hai Zhou 0001 Fast Estimation of Timing Yield Bounds for Process Variations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Sari Onaissi, Farid N. Najm A Linear-Time Approach for Static Timing Analysis Covering All Process Corners. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Vamsi Vankamamidi, Marco Ottavi, Fabrizio Lombardi Two-Dimensional Schemes for Clocking/Timing of QCA Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Kotipalli Pushpa, Chavali Nanda Kishore, Yeleswarapu Yoganandam Comparative study of four modified timing metrics for the frame synchronization in OFDMA mode of WMAN. Search on Bibsonomy PIMRC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Xiapu Luo, Edmond W. W. Chan, Rocky K. C. Chang TCP covert timing channels: Design and detection. Search on Bibsonomy DSN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Sean X. Shi, Anand Ramalingam, Daifeng Wang, David Z. Pan Latch Modeling for Statistical Timing Analysis. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Raman Venkataramani, Mehmet Fatih Erden MAP-Based Timing Recovery for Magnetic Recording. Search on Bibsonomy ICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Rajeshwary Tayade, Sani R. Nassif, Jacob A. Abraham Analytical model for the impact of multiple input switching noise on timing. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Khaled R. Heloue, Sari Onaissi, Farid N. Najm Efficient block-based parameterized timing analysis covering all potentially critical paths. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Javid Jaffari, Mohab Anis On efficient Monte Carlo-based statistical static timing analysis of digital circuits. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Shiyan Hu, Zhuo Li 0001, Charles J. Alpert A polynomial time approximation scheme for timing constrained minimum cost layer assignment. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Veerapaneni Nagbhushan, C. Y. Roger Chen Modeling and reduction of complex timing constraints in high performance digital circuits. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Anuj Kumar, Tai-Hsuan Wu, Azadeh Davoodi SynECO: Incremental technology mapping with constrained placement and fast detail routing for predictable timing improvement. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Hatim Daginawala, Matthew K. Wright Studying Timing Analysis on the Internet with SubRosa. Search on Bibsonomy Privacy Enhancing Technologies The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Yongjian Tang, Hans Hegt, Arthur H. M. van Roermund Predictive timing error calibration technique for RF current-steering DACs. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Johannes Partzsch, Christian Mayr 0001, René Schüffny BCM and Membrane Potential: Alternative Ways to Timing Dependent Plasticity. Search on Bibsonomy ICONIP (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Shiyan Hu, Qiuyang Li, Jiang Hu, Peng Li 0001 Utilizing Redundancy for Timing Critical Interconnect. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Farid N. Najm, Noel Menezes, Imad A. Ferzli A Yield Model for Integrated Circuits and its Application to Statistical Timing Analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Rutuparna Tamhankar, Srinivasan Murali, Stergios Stergiou, Antonio Pullini, Federico Angiolini, Luca Benini, Giovanni De Micheli Timing-Error-Tolerant Network-on-Chip Design Methodology. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Puneet Gupta 0001, Andrew B. Kahng, Youngmin Kim, Dennis Sylvester Self-Compensating Design for Reduction of Timing and Leakage Sensitivity to Systematic Pattern-Dependent Variation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Desta Tadesse, D. Sheffield, E. Lenge, R. Iris Bahar, Joel Grodstein Accurate timing analysis using SAT and pattern-dependent delay models. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Jing Xu, Wensheng Yu, Jian-Qiang Yi, Zhishou Tu Traffic Signal Timing with Neural Dynamic Optimization. Search on Bibsonomy ISNN (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Daniel Parthey, Robert Baumgartl Analyzing Access Timing of Removable Flash Media. Search on Bibsonomy RTCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Michael Ward, Neil C. Audsley A Deterministic Implementation Process for Accurate and Traceable System Timing and Space Analysis. Search on Bibsonomy RTCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Jongyoon Jung, Taewhan Kim Timing variation-aware high-level synthesis. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Yen-Pin Chen, Jia-Wei Fang, Yao-Wen Chang ECO timing optimization using spare cells. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Veerapaneni Nagbhushan, C. Y. Roger Chen Algorithms to simplify multi-clock/edge timing constraints. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Dylan Stark, Gabrielle Allen, Tom Goodale, Thomas Radke, Erik Schnetter An Extensible Timing Infrastructure for Adaptive Large-Scale Applications. Search on Bibsonomy PPAM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Tadesse Ghirmai Data Detection and Fixed Symbol-Timing Estimation in Flat Fading Channels. Search on Bibsonomy CISS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Harry I. A. Chen, Edward K. W. Loo, James B. Kuo, Marek Syrzycki Triple-Threshold Static Power Minimization in High-Level Synthesis of VLSI CMOS. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Jochen A. G. Jess, Kerim Kalafala, Srinath R. Naidu, Ralph H. J. M. Otten, Chandramouli Visweswariah Statistical Timing for Parametric Yield Prediction of Digital Integrated Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Kiran Seth, Aravindh Anantaraman, Frank Mueller 0001, Eric Rotenberg FAST: Frequency-aware static timing analysis. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF scheduling, Real-time systems, dynamic voltage scaling, worst-case execution time analysis
23Ara Patapoutian Timing metrics for constrained codes. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23José A. Lopez-Salcedo, Gregori Vázquez Asymptotic equivalence between the unconditional maximum likelihood and the square-law nonlinearity symbol timing estimation. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Hongbin Li 0001, Rensheng Wang, Khaled Amleh Blind code-timing estimation for CDMA systems with bandlimited chip waveforms in multipath fading channels. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Yasamin Mostofi, Donald C. Cox Mathematical analysis of the impact of timing synchronization errors on the performance of an OFDM system. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Kenneth Eguro, Scott Hauck Armada: timing-driven pipeline-aware routing for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF pipeline FPGA, pipeline routing, reconfigurable computing
23Lizheng Zhang, Jeng-Liang Tsai, Weijen Chen, Yuhen Hu, Charlie Chung-Ping Chen Convergence-provable statistical timing analysis with level-sensitive latches and feedback loops. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Chanseok Hwang, Massoud Pedram Timing-driven placement based on monotone cell ordering constraints. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Mike Hutton, Yan Lin 0001, Lei He 0001 Placement and Timing for FPGAs Considering Variations. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Jiyoun Kim, Marios C. Papaefthymiou, José Luis Neves Parallelizing post-placement timing optimization. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Jörg E. Vollrath, Jürg Schwizer, Marcin Gnat, Ralf Schneider, Bret Johnson DDR2 DRAM Output Timing Optimization. Search on Bibsonomy MTDT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Alejandro Russo, John Hughes 0001, David A. Naumann, Andrei Sabelfeld Closing Internal Timing Channels by Transformation. Search on Bibsonomy ASIAN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Sari Onaissi, Farid N. Najm A linear-time approach for static timing analysis covering all process corners. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Bo Hu Timing-driven placement for heterogeneous field programmable gate array. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Sean X. Shi, Peng Yu, David Z. Pan A unified non-rectangular device and circuit simulation model for timing and power. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF physical design, VLSI CAD, device modeling
23Yue Yu 0002, Shangping Ren, Ophir Frieder Prediction of Timing Constraint Violation for Real-Time Embedded Systems with Known Transient Hardware Failure Distribution Model. Search on Bibsonomy RTSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Valavan Manohararajah, Gordon R. Chiu, Deshanand P. Singh, Stephen Dean Brown Difficulty of predicting interconnect delay in a timing driven FPGA CAD flow. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Lijuan Luo, Qiang Zhou 0001, Yici Cai, Xianlong Hong, Yibo Wang A novel technique integrating buffer insertion into timing driven placement. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Andrew B. Kahng, Bao Liu 0001, Xu Xu 0001 Constructing Current-Based Gate Models Based on Existing Timing Library. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Chenyu Huang, William John Misskey, Joe Toth Combination of Coarse Symbol Timing and Carrier Frequency Offset (CFO) Estimation Techniques for MIMO OFDM Systems. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Ramyanshu Datta, Gary D. Carpenter, Kevin J. Nowka, Jacob A. Abraham A Scheme for On-Chip Timing Characterization. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Pai Peng, Peng Ning, Douglas S. Reeves On the Secrecy of Timing-Based Active Watermarking Trace-Back Techniques. Search on Bibsonomy S&P The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Siva Embanath, Ramakrishnan Venkata Exceptional ASIC: Through Automatic Timing Exception Generation (ATEG). Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Nisar Ahmed, Mohammad Tehranipoor, Vinay Jayaram Timing-based delay test for screening small delay defects. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF test generation, delay testing
23Sujan Pandey, Manfred Glesner Statistical on-chip communication bus synthesis and voltage scaling under timing yield constraint. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF communication bus synthesis, voltage scaling
23Zhi Tian, Georgios B. Giannakis A GLRT approach to data-aided timing acquisition in UWB radios-Part I: algorithms. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Zhiyuan Wang, Malgorzata Marek-Sadowska, Kun-Han Tsai, Janusz Rajski Delay-fault diagnosis using timing information. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Yong Liu, Tan F. Wong, Ashish Pandharipande Timing estimation in multiple-antenna systems over Rayleigh flat-fading channels. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Wonzoo Chung, William A. Sethares, C. Richard Johnson Jr. Timing phase offset recovery based on dispersion minimization. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Liuqing Yang 0001, Georgios B. Giannakis Timing ultra-wideband signals with dirty templates. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23M. Ümit Uyar, Yu Wang 0012, Samrat S. Batth, Adriana Wise, Mariusz A. Fecko Timing Fault Models for Systems with Multiple Timers. Search on Bibsonomy TestCom The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Timer Constraints, Fault Modeling, Timed Automata, Conformance Testing, Multiple Faults
23Sanjit A. Seshia, Randal E. Bryant, Kenneth S. Stevens Modeling and Verifying Circuits Using Generalized Relative Timing. Search on Bibsonomy ASYNC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Qingzhou (Ben) Wang, John Lillis, Shubhankar Sanyal An LP-based methodology for improved timing-driven placement. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Di Wu 0017, Jiang Hu, Rabi N. Mahapatra Coupling aware timing optimization and antenna avoidance in layer assignment. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF VLSI, interconnect, probabilistic modeling, physical design, crosstalk, design for manufacturability (DFM), antenna effect
23Hana Chockler, Kathi Fisler Temporal Modalities for Concisely Capturing Timing Diagrams. Search on Bibsonomy CHARME The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23David J. Hathaway Dealing with the spatio-temporal interactions among transient power, supply noise and timing. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Sibin Mohan, Frank Mueller 0001, David B. Whalley, Christopher A. Healy Timing Analysis for Sensor Network Nodes of the Atmega Processor Family. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Christian Vogel 0001, Dieter Draxelmayr, Gernot Kubin Spectral shaping of timing mismatches in time-interleaved analog-to-digital converters. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Octav Chipara, Chenyang Lu 0001, Gruia-Catalin Roman Efficient Power Management Based on Application Timing Semantics for Wireless Sensor Networks. Search on Bibsonomy ICDCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Jie Yang 0010, Luigi Capodieci, Dennis Sylvester Advanced timing analysis based on post-OPC extraction of critical dimensions. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF process CD, layout, OPC, design flow
23Hongliang Chang, Vladimir Zolotov, Sambasivan Narayan, Chandu Visweswariah Parameterized block-based statistical timing analysis with non-gaussian parameters, nonlinear delay functions. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Chi-Sheng Shih 0001, Jane W.-S. Liu Acquiring and incorporating state-dependent timing requirements. Search on Bibsonomy Requir. Eng. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Requirement capture and update, Real-time software architecture, Real-time requirements
23Jason Cong, Sung Kyu Lim Retiming-based timing analysis with an application to mincut-based global placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Brian Neil Levine, Michael K. Reiter, Chenxi Wang, Matthew K. Wright Timing Attacks in Low-Latency Mix Systems (Extended Abstract). Search on Bibsonomy Financial Cryptography The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Neil C. Audsley, Konstantinos Bletsas Fixed Priority Timing Analysis of Real-Time Systems with Limited Parallelism. Search on Bibsonomy ECRTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Mango Chia-Tso Chao, Li-C. Wang, Kwang-Ting Cheng Pattern Selection for Testing of Deep Sub-Micron Timing Defects. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Keoncheol Shin, Taewhan Kim An integrated approach to timing-driven synthesis and placement of arithmetic circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Reinhard Wilhelm Formal Analysis of Processor Timing Models. Search on Bibsonomy SPIN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Vishal Khandelwal, Azadeh Davoodi, Ankur Srivastava 0001 Efficient statistical timing analysis through error budgeting. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Masashi Shimanouchi Timing Accuracy Enhancement by a New Calibration Scheme for Multi-Gbps ATE. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Aseem Agarwal, Vladimir Zolotov, David T. Blaauw Statistical timing analysis using bounds and selective enumeration. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 16145 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license