The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ASP with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1967-1994 (16) 1995 (81) 1997 (101) 1998 (105) 1999 (98) 2000 (148) 2001 (189) 2002 (164) 2003 (238) 2004 (268) 2005 (393) 2006 (227) 2007 (235) 2008 (215) 2009 (235) 2010 (205) 2011 (197) 2012 (195) 2013 (191) 2014 (176) 2015 (202) 2016 (174) 2017 (186) 2018 (185) 2019 (182) 2020 (171) 2021 (197) 2022 (175) 2023 (183) 2024 (12)
Publication types (Num. hits)
article(378) book(20) data(1) incollection(8) inproceedings(4902) phdthesis(7) proceedings(28)
Venues (Conferences, Journals, ...)
ASP-DAC(3979) ASP-DAC/VLSI Design(129) CoRR(110) LPNMR(101) Answer Set Programming(85) Theory Pract. Log. Program.(53) ICLP(41) CILC(23) HICSS(22) Interfaces(21) JELIA(18) PADL(17) IJCAI(16) ICLP (Technical Communications...(13) KR(13) AAAI(10) More (+10 of total 478)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 860 occurrences of 653 keywords

Results
Found 5360 publication records. Showing 5344 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
25Zhiyao Xie, Haoxing Ren, Brucek Khailany, Ye Sheng, Santosh Santosh, Jiang Hu, Yiran Chen 0001 PowerNet: Transferable Dynamic IR Drop Estimation via Maximum Convolutional Neural Network. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Zheng Li 0021, Jian Pang, Ryo Kubozoe, Xueting Luo, Rui Wu 0001, Yun Wang 0008, Dongwon You, Ashbir Aviat Fadila, Joshua Alvin, Bangan Liu, Zheng Sun, Hongye Huang, Atsushi Shirane, Kenichi Okada A 28GHz CMOS Differential Bi-Directional Amplifier for 5G NR. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Mingjie Liu, Wuxi Li, Keren Zhu 0001, Biying Xu, Yibo Lin, Linxiao Shen, Xiyuan Tang, Nan Sun 0001, David Z. Pan S3DET: Detecting System Symmetry Constraints for Analog Circuits with Graph Similarity. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Yi Guo, Heming Sun, Shinji Kimura Small-Area and Low-Power FPGA-Based Multipliers using Approximate Elementary Modules. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Masanori Hashimoto, Wang Liao Soft Error and Its Countermeasures in Terrestrial Environment. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Chaofei Yang, Hai Li 0001, Yiran Chen 0001, Jiang Hu Enhancing Generalization of Wafer Defect Detection by Data Discrepancy-aware Preprocessing and Contrast-varied Augmentation. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Sheriff Sadiqbatcha, Yue Zhao, Jinwei Zhang, Hussam Amrouch, Jörg Henkel, Sheldon X.-D. Tan Machine Learning Based Online Full-Chip Heatmap Estimation. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Zirui Xu, Fuxun Yu, Xiang Chen 0010 LanCe: A Comprehensive and Lightweight CNN Defense Methodology against Physical Adversarial Attacks on Embedded Multimedia Applications. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Haoyu Yang, Wei Zhong, Yuzhe Ma, Hao Geng, Ran Chen, Wanli Chen, Bei Yu 0001 VLSI Mask Optimization: From Shallow To Deep Learning. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Wenjian He, Wei Zhang 0012, Sharad Sinha, Sanjeev Das iGPU Leak: An Information Leakage Vulnerability on Intel Integrated GPU. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Zhiyao Xie, Guan-Qi Fang, Yu-Hung Huang, Haoxing Ren, Yanqing Zhang 0002, Brucek Khailany, Shao-Yun Fang, Jiang Hu, Yiran Chen 0001, Erick Carvajal Barboza FIST: A Feature-Importance Sampling and Tree-Based Method for Automatic Design Flow Parameter Tuning. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Zhongqi Cheng, Emad Malekzadeh Arasteh, Rainer Dömer Event Delivery using Prediction for Faster Parallel SystemC Simulation. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Pengfei Qiu, Qian Wang 0022, Dongsheng Wang 0002, Yongqiang Lyu 0001, Zhaojun Lu, Gang Qu 0001 Mitigating Adversarial Attacks for Deep Neural Networks by Input Deformation and Augmentation. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Elbruz Ozen, Alex Orailoglu Concurrent Monitoring of Operational Health in Neural Networks Through Balanced Output Partitions. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Mengchu Li, Tsun-Ming Tseng, Mahdi Tala, Ulf Schlichtmann Maximizing the Communication Parallelism for Wavelength-Routed Optical Networks-On-Chips. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Sanjay Moulik, Rishabh Chaudhary, Zinea Das, Arnab Sarkar EA-HRT: An Energy-Aware scheduler for Heterogeneous Real-Time systems. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Ali Mirzaeian, Houman Homayoun, Avesta Sasan NESTA: Hamming Weight Compression-Based Neural Proc. EngineAli Mirzaeian. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Fan Zhang 0069, Miao Hu Defects Mitigation in Resistive Crossbars for Analog Vector Matrix Multiplication. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Ching-Hwa Cheng A Quantity Evaluation and Reconfiguration Mechanism for Signal- and Power-Interconnections in 3D-Stacking System. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Lukas Burgholzer, Robert Wille Improved DD-based Equivalence Checking of Quantum Circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Alessandro Cornaglia, Md. Shakib Hasan, Alexander Viehl, Oliver Bringmann 0001, Wolfgang Rosenstiel JIT-Based Context-Sensitive Timing Simulation for Efficient Platform Exploration. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Patrick Sittel, John Wickerson, Martin Kumm, Peter Zipf Modulo Scheduling with Rational Initiation Intervals in Custom Hardware Design. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Shulin Zeng, Hanbo Sun, Yu Xing, Xuefei Ning, Yi Shan, Xiaoming Chen 0003, Yu Wang 0002, Huazhong Yang Black Box Search Space Profiling for Accelerator-Aware Neural Architecture Search. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Jeongwoo Heo, Taewhan Kim Lightening Asynchronous Pipeline Controller Through Resynthesis and Optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Chuliang Guo, Li Zhang 0021, Xian Zhou, Weikang Qian, Cheng Zhuo A Reconfigurable Approximate Multiplier for Quantized CNN Applications. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Maedeh Hemmat, Tejas Shah, Yuhua Chen, Joshua San Miguel CRANIA: Unlocking Data and Value Reuse in Iterative Neural Network Architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Xiaolong Ma, Zhe Li 0001, Hongjia Li, Qiyuan An, Qinru Qiu, Wenyao Xu, Yanzhi Wang Database and Benchmark for Early-stage Malicious Activity Detection in 3D Printing. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Youngsoo Shin (eds.) 23rd Asia and South Pacific Design Automation Conference, ASP-DAC 2018, Jeju, Korea (South), January 22-25, 2018 Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  BibTeX  RDF
25Bruno de O. Schmitt, Alan Mishchenko, Robert K. Brayton SAT-based area recovery in structural technology mapping. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Yuanjing Shi, Zhaoyan Shen, Zili Shao SQLiteKV: An efficient LSM-tree-based SQLite-like database engine for mobile devices. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Byung-Su Kim, Joon-Sung Yang System level performance analysis and optimization for the adaptive clocking based multi-core processor. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Dongin Kim, SeongHwan Cho A supply noise insensitive PLL with a rail-to-rail swing ring oscillator and a wideband noise suppression loop. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Leilai Shao, Tsung-Ching Huang, Ting Lei, Zhenan Bao, Raymond G. Beausoleil, Kwang-Ting Cheng Process design kit for flexible hybrid electronics. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Necati Uysal, Rickard Ewetz OCV guided clock tree topology reconstruction. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Matthias Becker 0004, Saad Mubeen, Dakshina Dasari, Moris Behnam, Thomas Nolte Scheduling multi-rate real-time applications on clustered many-core architectures with memory constraints. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Atul Prasad Deb Nath, Sandip Ray, Abhishek Basak, Swamp Bhunia System-on-chip security architecture and CAD framework for hardware patch. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Pu Zhao 0001, Yanzhi Wang, Naehyuck Chang, Qi Zhu 0002, Xue Lin A deep reinforcement learning framework for optimizing fuel economy of hybrid electric vehicles. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Yongsun Lee, Taeho Seong, Seyeon Yoo, Jaehyouk Choi A switched-loop-filter PLL with fast phase-error correction technique. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Hossein Sayadi, Divya Pathak, Ioannis Savidis, Houman Homayoun Power conversion efficiency-aware mapping of multithreaded applications on heterogeneous architectures: A comprehensive parameter tuning. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Fan Chen 0001, Zheng Li, Wang Kang 0001, Weisheng Zhao, Hai Li 0001, Yiran Chen 0001 Process variation aware data management for magnetic skyrmions racetrack memory. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Vikkitharan Gnanasambandapillai, Arash Bayat, Sri Parameswaran MESGA: An MPSoC based embedded system solution for short read genome alignment. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Sunmean Kim, Taeho Lim, Seokhyeong Kang An optimal gate design for the synthesis of ternary logic circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Meng Li 0004, Bei Yu 0001, Yibo Lin, Xiaoqing Xu, Wuxi Li, David Z. Pan A practical split manufacturing framework for Trojan prevention via simultaneous wire lifting and cell insertion. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Fan Chen 0001, Linghao Song, Yiran Chen 0001 ReGAN: A pipelined ReRAM-based accelerator for generative adversarial networks. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Rui Wu 0008, M. Ashkan Seyedi, Yuyang Wang 0003, Jared Hulme, Marco Fiorentino, Raymond G. Beausoleil, Kwang-Ting Cheng Pairing of microring-based silicon photonic transceivers for tuning power optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Hao-Yu Chi, Hwa-Yi Tseng, Chien-Nan Jimmy Liu, Hung-Ming Chen Performance-preserved analog routing methodology via wire load reduction. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Andrew B. Kahng New directions for learning-based IC design tools and methodologies. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Xizi Chen, Jingbo Jiang, Jingyang Zhu, Chi-Ying Tsui A high-throughput and energy-efficient RRAM-based convolutional neural network using data encoding and dynamic quantization. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Alwin Zulehner, Robert Wille Exploiting coding techniques for logic synthesis of reversible circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Kyeong-min Park, Joohyeb Song, Franklin Bien Highly sensitive fingerprint readout IC for glass-covered mutual capacitive fingerprint sensor. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Junmin Jiang, Wing-Hung Ki, Yan Lu 0002 A digital SC converter with high efficiency and low voltage ripple. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Youngwoo Ji, Cheonhoo Jeon, Hyunwoo Son, Byungsub Kim, Hong-June Park, Jae-Yoon Sim A 9.3 nW all-in-one bandgap voltage and current reference circuit using leakage-based PTAT generation and DIBL characteristic. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25David M. Moore, Jeffrey A. Fredenburgh, Muhammad Faisal, David D. Wentzloff Static timing analysis for ring oscillators. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Wenxuan Wang, Aijiao Cui, Gang Qu 0001, Huawei Li 0001 A low-overhead PUF based on parallel scan design. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Chuan Yean Tan, Rickard Ewetz, Cheng-Kok Koh Clustering of flip-flops for useful-skew clock tree synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Zhongyuan Tian, Zhe Wang 0003, Haoran Li 0002, Peng Yang 0003, Rafael Kioji Vivas Maeda, Jiang Xu 0001 Multi-device collaborative management through knowledge sharing. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Canran Jin, Heming Sun, Shinji Kimura Sparse ternary connect: Convolutional neural networks using ternarized weights with enhanced sparsity. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Christian Pilato, Luca P. Carloni DarkMem: Fine-grained power management of local memories for accelerators in embedded systems. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Jeff Burns Keynote I: "Designing heterogeneous systems in the AI era: Challenges and opportunities". Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Tian Wang, Xiaoxin Cui, Dunshan Yu, Omid Aramoon, Timothy Dunlap, Gang Qu 0001, Xiaole Cui Polymorphic gate based IC watermarking techniques. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Barend Harris, Mansureh S. Moghaddam, Duseok Kang, Inpyo Bae, Euiseok Kim, Hyemi Min, Hansu Cho, Sukjin Kim, Bernhard Egger 0002, Soonhoi Ha, Kiyoung Choi Architectures and algorithms for user customization of CNNs. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Tongxin Yang, Tomoaki Ukezono, Toshinori Sato A low-power high-speed accuracy-controllable approximate multiplier design. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Hong-Thu Nguyen, Xuan-Thuan Nguyen, Cong-Kha Pham An efficient fixed-point arithmetic processor using a hybrid CORDIC algorithm. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Enes Eken, Ismail Bayram, Hai Helen Li, Yiran Chen 0001 Modeling of biaxial magnetic tunneling junction for multi-level cell STT-RAM realization. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Wenyu Sun, Yuxuan Huang, Qinghang Zhao, Fei Qiao, Tsung-Yi Ho, Xiaojun Guo, Huazhong Yang, Yongpan Liu Mechanical strain and temperature aware design methodology for thin-film transistor based pseudo-CMOS logic array. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Shuyan Jiang, Qiong Wu, Shuyu Chen, Junshi Wang, Masoumeh Ebrahimi, Letian Huang, Qiang Li 0021 Optimizing dynamic mapping techniques for on-line NoC test. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Sina Boroumand, Hadi Parandeh-Afshar, Philip Brisk, Siamak Mohammadi Exploration of approximate multipliers design space using carry propagation free compressors. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Daijoon Hyun, Youngsoo Shin Automatic insertion of airgap with design rule constraints. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Mingxi Cheng, Ji Li 0006, Shahin Nazarian DRL-cloud: Deep reinforcement learning-based resource provisioning and task scheduling for cloud service providers. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Xiaoyu Sun 0001, Xiaochen Peng, Pai-Yu Chen, Rui Liu 0005, Jae-sun Seo, Shimeng Yu Fully parallel RRAM synaptic array for implementing binary neural network with (+1, -1) weights and (+1, 0) neurons. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Dong-Soo Lee, Sung-Jin Kim, SeongJin Oh, Gyusub Won, Thi Kim Nga Truong, Imran Ali, Hamed Abbasizadeh, Behnam Samadpoor Rikan, Kang-Yoon Lee Low power FSK transceiver using ADPLL with direct modulation and integrated SPDT for BLE application. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Zhifeng Zhang, Dajiang Zhou, Shihao Wang, Shinji Kimura Quad-multiplier packing based on customized floating point for convolutional neural networks on FPGA. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Shaahin Angizi, Zhezhi He, Farhana Parveen, Deliang Fan IMCE: Energy-efficient bit-wise in-memory convolution engine for deep neural network. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Zhufei Chu, Mathias Soeken, Yinshui Xia, Giovanni De Micheli Functional decomposition using majority. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Xiaoxiao Liu 0001, Wei Wen, Xuehai Qian, Hai Li 0001, Yiran Chen 0001 Neu-NoC: A high-efficient interconnection network for accelerated neuromorphic systems. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Gabriel Cadilha Marques, Farhan Rasheed, Jasmin Aghassi-Hagmann, Mehdi Baradaran Tahoori From silicon to printed electronics: A coherent modeling and design flow approach based on printed electrolyte gated FETs. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Chenguang Wang 0003, Yici Cai, Qiang Zhou 0001 HLIFT: A high-level information flow tracking method for detecting hardware Trojans. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Younghyun Lim, Jeonghyun Lee, Suneui Park, Jaehyouk Choi An external-capacitor-less high-PSR low-dropout regulator using an adaptive supply-ripple cancellation technique to the body-gate. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Qi Nie, Sharad Malik MemFlow: Memory-driven data scheduling with datapath co-design in accelerators for large-scale inference applications. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Kaiwei Zou, Ying Wang 0001, Huawei Li 0001, Xiaowei Li 0001 XORiM: A case of in-memory bit-comparator implementation and its performance implications. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Boyu Zhang 0001, Azadeh Davoodi, Yu Hen Hu Exploring energy and accuracy tradeoff in structure simplification of trained deep neural networks. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Oh-Yong Jung, Hyun-Gi Seok, Anjana Dissanayake, Sang-Gug Lee 0001 A 2.4GHz, -102dBm-sensitivity, 25kb/s, 0.466mW interference resistant BFSK multi-channel sliding-IF ULP receiver. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Md. Nazmul Islam, Sandip Kundu PMU-Trojan: On exploiting power management side channel for information leakage. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Yuanqi Shen, Amin Rezaei 0001, Hai Zhou 0001 A comparative investigation of approximate attacks on logic encryptions. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Minsoo Rhu Accelerator-centric deep learning systems for enhanced scalability, energy-efficiency, and programmability. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Satwik Patnaik, Johann Knechtel, Mohammed Ashraf, Ozgur Sinanoglu Concerted wire lifting: Enabling secure and cost-effective split manufacturing. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Omayma Matoussi, Frédéric Pétrot A mapping approach between IR and binary CFGs dealing with aggressive compiler optimizations for performance estimation. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Jeff Dyck Machine learning for engineering. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Pei-Yu Lee, Iris Hui-Ru Jiang, Tung-Chieh Chen FastPass: Fast timing path search for generalized timing exception handling. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Sheng Xu, Ying Wang 0001, Yinhe Han 0001, Xiaowei Li 0001 PIMCH: Cooperative memory prefetching in processing-in-memory architecture. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Daniel Tille, Benedikt Gottinger, Ulrike Pfannkuchen, Helmut Graeb, Ulf Schlichtmann On enabling diagnosis for 1-Pin Test fails in an industrial flow. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Biao Hu 0001, Kai Huang 0001 Scheduling and shaping of complex task activations for mixed-criticality systems. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Qingqing Ma, Chongyan Gu, Neil Hanley, Chenghua Wang, Weiqiang Liu 0001, Máire O'Neill A machine learning attack resistant multi-PUF design on FPGA. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Huimin Liu, Xiongfei Qu, Lingling Cao, Ruifeng Liu, Yuanzhi Zhang, Meijuan Zhang, Xiaoqiang Li, Wenshen Wang, Chao Lu 0005 A 5.8 GHz DSRC digitally controlled CMOS RF-SoC transceiver for China ETC. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Huizhang Luo, Liang Shi, Qiao Li 0001, Chun Jason Xue, Edwin Hsing-Mean Sha Energy, latency, and lifetime improvements in MLC NVM with enhanced WOM code. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Chien-Yu Lin, Bo-Cheng Lai Supporting compressed-sparse activations and weights on SIMD-like accelerator for sparse convolutional neural networks. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Chak-Wa Pui, Peishan Tu, Haocheng Li, Gengjie Chen, Evangeline F. Y. Young A two-step search engine for large scale boolean matching under NP3 equivalence. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Junmin Jiang, Yan Lu 0002, Xun Liu, Wing-Hung Ki, Philip K. T. Mok, Seng-Pan U, Rui Paulo Martins A dual-output SC converter with dynamic power allocation for multicore application processors. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Yutaka Masuda, Masanori Hashimoto MTTF-aware design methodology of error prediction based adaptively voltage-scaled circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Handi Yu, Xin Li 0001 Intelligent corner synthesis via cycle-consistent generative adversarial networks for efficient validation of autonomous driving systems. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 5344 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license