Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
19 | Malay K. Ganai, Adnan Aziz |
Rarity based guided state space search. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 11th ACM Great Lakes Symposium on VLSI 2001, West Lafayette, Indiana, USA, 2001, pp. 97-102, 2001, ACM, 1-58113-351-0. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
simulation, formal methods, coverage, BDDs, functional verification |
19 | Barbara J. Czerny, Mats Per Erik Heimdahl |
Identifying Domain Axioms Using Binary Decision Diagrams. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HASE ![In: 4th IEEE International Symposium on High-Assurance Systems Engineering (HASE '99), 17-19 November 1999, Washington, D.C, USA, Proceedings, pp. 132-140, 1999, IEEE Computer Society, 0-7695-0418-3. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Static Requirements Analysis, Domain Axioms, Spurious Errors, Completeness Analysis, False Positives, Consistency Analysis, Binary Decision Diagrams (BDDs) |
19 | Dirk W. Hoffmann, Thomas Kropf |
Automatic Error Correction of Tri-State Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: Proceedings of the IEEE International Conference On Computer Design, VLSI in Computers and Processors, ICCD '99, Austin, Texas, USA, October 10-13, 1999, pp. 51-, 1999, IEEE Computer Society, 0-7695-0406-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Automatic error correction, tri-states, fault diagnosis, BDDs, equivalence checking |
19 | Zeljko Zilic, Zvonko G. Vranesic |
Using Decision Diagrams to Design ULMs for FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 47(9), pp. 970-982, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
ULMs, classification of logic functions, synthesis of logic functions, FPGAs, BDDs |
19 | Edmund M. Clarke, Orna Grumberg, David E. Long |
Model Checking and Abstraction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 16(5), pp. 1512-1542, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
model checking, temporal logic, abstract interpretation, binary decision diagrams (BDDs) |
19 | Dennis Dams, Rob Gerth, Gert Döhmen, Ronald Herrmann, Peter Kelb, Hergen Pargmann |
Model Checking Using Adaptive State and Data Abstraction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAV ![In: Computer Aided Verification, 6th International Conference, CAV '94, Stanford, California, USA, June 21-23, 1994, Proceedings, pp. 455-467, 1994, Springer, 3-540-58179-0. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
ACTL, state partitioning, model checking, abstract interpretation, binary decision diagrams (BDDs) |
10 | Florian Pigorsch, Christoph Scholl 0001 |
An AIG-Based QBF-solver using SAT for preprocessing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 47th Design Automation Conference, DAC 2010, Anaheim, California, USA, July 13-18, 2010, pp. 170-175, 2010, ACM, 978-1-4503-0002-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Boolean satisfiability, quantified boolean formulas |
10 | Gianfranco Ciardo, Andrew S. Miner, Min Wan |
Advanced features in SMART: the stochastic model checking analyzer for reliability and timing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS Perform. Evaluation Rev. ![In: SIGMETRICS Perform. Evaluation Rev. 36(4), pp. 58-63, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
10 | Alessandro Ferrante, Margherita Napoli, Mimmo Parente |
Graded-CTL: Satisfiability and Symbolic Model Checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICFEM ![In: Formal Methods and Software Engineering, 11th International Conference on Formal Engineering Methods, ICFEM 2009, Rio de Janeiro, Brazil, December 9-12, 2009. Proceedings, pp. 306-325, 2009, Springer, 978-3-642-10372-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
10 | Martin Dietzfelbinger, Stefan Edelkamp |
Perfect Hashing for State Spaces in BDD Representation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KI ![In: KI 2009: Advances in Artificial Intelligence, 32nd Annual German Conference on AI, Paderborn, Germany, September 15-18, 2009. Proceedings, pp. 33-40, 2009, Springer, 978-3-642-04616-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
10 | Peter Kissmann, Stefan Edelkamp |
Solving Fully-Observable Non-deterministic Planning Problems via Translation into a General Game. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KI ![In: KI 2009: Advances in Artificial Intelligence, 32nd Annual German Conference on AI, Paderborn, Germany, September 15-18, 2009. Proceedings, pp. 1-8, 2009, Springer, 978-3-642-04616-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
10 | Ben Hardekopf, Calvin Lin |
Semi-sparse flow-sensitive pointer analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
POPL ![In: Proceedings of the 36th ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, POPL 2009, Savannah, GA, USA, January 21-23, 2009, pp. 226-238, 2009, ACM, 978-1-60558-379-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
pointer analysis, alias analysis |
10 | Ehab Al-Shaer, Wilfredo Marrero, Adel El-Atawy, Khalid Elbadawi |
Network Configuration in A Box: Towards End-to-End Verification of Network Reachability and Security. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICNP ![In: Proceedings of the 17th annual IEEE International Conference on Network Protocols, 2009. ICNP 2009, Princeton, NJ, USA, 13-16 October 2009, pp. 123-132, 2009, IEEE Computer Society, 978-1-4244-4634-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
10 | Sagar Chaki, Arie Gurfinkel, Ofer Strichman |
Decision diagrams for linear arithmetic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FMCAD ![In: Proceedings of 9th International Conference on Formal Methods in Computer-Aided Design, FMCAD 2009, 15-18 November 2009, Austin, Texas, USA, pp. 53-60, 2009, IEEE, 978-1-4244-4966-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
10 | Robert Wille, Rolf Drechsler |
BDD-based synthesis of reversible logic for large functions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 270-275, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
synthesis, decision diagrams, reversible logic, quantum logic |
10 | Gianpiero Cabodi, Marco Murciano, Sergio Nocco, Stefano Quer |
Boosting interpolation with dynamic localized abstraction and redundancy removal. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 13(1), pp. 3:1-3:20, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Interpolant, abstraction, redundancy removal |
10 | John P. Gallagher, Mads Rosendahl |
Approximating Term Rewriting Systems: A Horn Clause Specification and Its Implementation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LPAR ![In: Logic for Programming, Artificial Intelligence, and Reasoning, 15th International Conference, LPAR 2008, Doha, Qatar, November 22-27, 2008. Proceedings, pp. 682-696, 2008, Springer, 978-3-540-89438-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Sam Owre, Natarajan Shankar |
A Brief Overview of PVS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TPHOLs ![In: Theorem Proving in Higher Order Logics, 21st International Conference, TPHOLs 2008, Montreal, Canada, August 18-21, 2008. Proceedings, pp. 22-27, 2008, Springer, 978-3-540-71065-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Yassine Mokhtari, Sa'ed Abed, Otmane Aït Mohamed, Sofiène Tahar, Xiaoyu Song |
A New Approach for the Construction of Multiway Decision Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICTAC ![In: Theoretical Aspects of Computing - ICTAC 2008, 5th International Colloquium, Istanbul, Turkey, September 1-3, 2008. Proceedings, pp. 228-242, 2008, Springer, 978-3-540-85761-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Glenn Bruns, Michael Huth 0001 |
Access-Control Policies via Belnap Logic: Effective and Efficient Composition and Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSF ![In: Proceedings of the 21st IEEE Computer Security Foundations Symposium, CSF 2008, Pittsburgh, Pennsylvania, USA, 23-25 June 2008, pp. 163-176, 2008, IEEE Computer Society, 978-0-7695-3182-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Belnap logic, access control, policy languages, policy analysis |
10 | Raimund Ubar, Sergei Devadze, Jaan Raik, Artur Jutman |
Parallel fault backtracing for calculation of fault coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 13th Asia South Pacific Design Automation Conference, ASP-DAC 2008, Seoul, Korea, January 21-24, 2008, pp. 667-672, 2008, IEEE, 978-1-4244-1921-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Dejvuth Suwimonteerabuth, Javier Esparza, Stefan Schwoon |
Symbolic Context-Bounded Analysis of Multithreaded Java Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPIN ![In: Model Checking Software, 15th International SPIN Workshop, Los Angeles, CA, USA, August 10-12, 2008, Proceedings, pp. 270-287, 2008, Springer, 978-3-540-85113-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
10 | José Vander Meulen, Charles Pecheur |
Efficient Symbolic Model Checking for Process Algebras. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FMICS ![In: Formal Methods for Industrial Critical Systems, 13th International Workshop, FMICS 2008, L'Aquila, Italy, September 15-16, 2008, Revised Selected Papers, pp. 69-84, 2008, Springer, 978-3-642-03239-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Paolo Bernardi, Kyriakos Christou, Michelangelo Grosso, Maria K. Michael, Ernesto Sánchez 0001, Matteo Sonza Reorda |
Exploiting MOEA to Automatically Geneate Test Programs for Path-Delay Faults in Microprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EvoWorkshops ![In: Applications of Evolutionary Computing, EvoWorkshops 2008: EvoCOMNET, EvoFIN, EvoHOT, EvoIASP, EvoMUSART, EvoNUM, EvoSTOC, and EvoTransLog, Naples, Italy, March 26-28, 2008. Proceedings, pp. 224-234, 2008, Springer, 978-3-540-78760-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
microprocessor, BDD, MOEA, path-delay testing |
10 | Chao Wang, Malay K. Ganai, Shuvendu K. Lahiri, Daniel Kroening |
Embedded software verification: challenges and solutions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2008 International Conference on Computer-Aided Design, ICCAD 2008, San Jose, CA, USA, November 10-13, 2008, pp. 5, 2008, IEEE Computer Society, 978-1-4244-2820-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Craig M. Files, Mark H. Nodine |
MDD with Added Null-Value and All-Value Edges. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMVL ![In: 38th IEEE International Symposium on Multiple-Valued Logic (ISMVL 2008), 22-23 May 2008, Dallas, Texas, USA, pp. 64-69, 2008, IEEE Computer Society, 978-0-7695-3155-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Multi-valued, Synthesis, MDD, Logic, Domino Logic |
10 | Thomas Wahl, Nicolas Blanc, E. Allen Emerson |
SVISS: Symbolic Verification of Symmetric Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TACAS ![In: Tools and Algorithms for the Construction and Analysis of Systems, 14th International Conference, TACAS 2008, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2008, Budapest, Hungary, March 29-April 6, 2008. Proceedings, pp. 459-462, 2008, Springer, 978-3-540-78799-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Azam Beg, P. W. Chandana Prasad, Walid Ibrahim, Emad Abu Shama |
Utilizing synthesis to verify Boolean function models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, USA, pp. 1576-1579, 2008, IEEE, 978-1-4244-1683-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Václav Dvorák |
Embedded Firmware Development with Multi-way Branching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICONS ![In: The Third International Conference on Systems, ICONS 2008, April 13-18, 2008, Cancun, Mexico, pp. 317-322, 2008, IEEE Computer Society, 978-0-7695-3105-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Embedded firmware, iterative disjunctive decomposition, multi-valued functions, decision diagrams, space complexity |
10 | Kyriakos Christou, Maria K. Michael, Paolo Bernardi, Michelangelo Grosso, Ernesto Sánchez 0001, Matteo Sonza Reorda |
A Novel SBST Generation Technique for Path-Delay Faults in Microprocessors Exploiting Gate- and RT-Level Descriptions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 26th IEEE VLSI Test Symposium (VTS 2008), April 27 - May 1, 2008, San Diego, California, USA, pp. 389-394, 2008, IEEE Computer Society, 978-0-7695-3123-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
SBST, path-delay faults, microprocessor test |
10 | Arie Gurfinkel, Sagar Chaki |
Combining Predicate and Numeric Abstraction for Software Model Checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FMCAD ![In: Formal Methods in Computer-Aided Design, FMCAD 2008, Portland, Oregon, USA, 17-20 November 2008, pp. 1-9, 2008, IEEE, 978-1-4244-2735-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Panuchart Bunyakiati, Anthony Finkelstein, James Skene, Clovis Chapman |
Using JULE to generate a compliance test suite for the UML standard. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSE ![In: 30th International Conference on Software Engineering (ICSE 2008), Leipzig, Germany, May 10-18, 2008, pp. 827-830, 2008, ACM, 978-1-60558-079-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
ocl, test generation, metamodel, certification, binary decision diagram, uml |
10 | Mark Gabel, Zhendong Su 0001 |
Symbolic mining of temporal specifications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSE ![In: 30th International Conference on Software Engineering (ICSE 2008), Leipzig, Germany, May 10-18, 2008, pp. 51-60, 2008, ACM, 978-1-60558-079-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
formal specifications, dynamic analysis, specification mining |
10 | Shin-ichi Minato, Takeaki Uno, Hiroki Arimura |
LCM over ZBDDs: Fast Generation of Very Large-Scale Frequent Itemsets Using a Compact Graph-Based Representation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PAKDD ![In: Advances in Knowledge Discovery and Data Mining, 12th Pacific-Asia Conference, PAKDD 2008, Osaka, Japan, May 20-23, 2008 Proceedings, pp. 234-246, 2008, Springer, 978-3-540-68124-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Abusaleh M. Jabir, Dhiraj K. Pradhan, T. L. Rajaprabhu, Ashutosh Kumar Singh 0001 |
A Technique for Representing Multiple Output Binary Functions with Applications to Verification and Simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 56(8), pp. 1133-1145, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Finite or Galois Fields, Characteristic and Encoded Characteristic Functions, Simulation, Evaluation, Verification, Decision Diagrams |
10 | Roland Axelsson, Martin Lange |
Model Checking the First-Order Fragment of Higher-Order Fixpoint Logic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LPAR ![In: Logic for Programming, Artificial Intelligence, and Reasoning, 14th International Conference, LPAR 2007, Yerevan, Armenia, October 15-19, 2007, Proceedings, pp. 62-76, 2007, Springer, 978-3-540-75558-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
10 | Natasa Miskov-Zivanov, Diana Marculescu |
Soft error rate analysis for sequential circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007, pp. 1436-1441, 2007, EDA Consortium, San Jose, CA, USA, 978-3-9810801-2-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
10 | Salem Derisavi |
Signature-based Symbolic Algorithm for Optimal Markov Chain Lumping. ![Search on Bibsonomy](Pics/bibsonomy.png) |
QEST ![In: Fourth International Conference on the Quantitative Evaluaiton of Systems (QEST 2007), 17-19 September 2007, Edinburgh, Scotland, UK, pp. 141-150, 2007, IEEE Computer Society, 0-7695-2883-X. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
10 | Conghua Zhou, Zhenyu Chen 0001, Zhihong Tao |
QBF-Based Symbolic Model Checking for Knowledge and Time. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TAMC ![In: Theory and Applications of Models of Computation, 4th International Conference, TAMC 2007, Shanghai, China, May 22-25, 2007, Proceedings, pp. 386-397, 2007, Springer, 978-3-540-72503-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
10 | Shinobu Nagayama, Tsutomu Sasao, Jon T. Butler |
Numerical Function Generators Using Edge-Valued Binary Decision Diagrams. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 12th Conference on Asia South Pacific Design Automation, ASP-DAC 2007, Yokohama, Japan, January 23-26, 2007, pp. 535-540, 2007, IEEE Computer Society, 1-4244-0629-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
multiterminal BDD, numerical function generators, edge-valued binary decision diagrams, segment index encoder |
10 | Marco Bozzano, Alessandro Cimatti, Francesco Tapparo |
Symbolic Fault Tree Analysis for Reactive Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ATVA ![In: Automated Technology for Verification and Analysis, 5th International Symposium, ATVA 2007, Tokyo, Japan, October 22-25, 2007, Proceedings, pp. 162-176, 2007, Springer, 978-3-540-75595-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
10 | Michael Wachter 0001, Rolf Haenni |
Multi-state Directed Acyclic Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Canadian AI ![In: Advances in Artificial Intelligence, 20th Conference of the Canadian Society for Computational Studies of Intelligence, Canadian AI 2007, Montreal, Canada, May 28-30, 2007, Proceedings, pp. 464-475, 2007, Springer, 978-3-540-72664-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
10 | Chih-Chun Lee, Jie-Hong Roland Jiang, Chung-Yang Huang, Alan Mishchenko |
Scalable exploration of functional dependency by interpolation and incremental SAT solving. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2007 International Conference on Computer-Aided Design, ICCAD 2007, San Jose, CA, USA, November 5-8, 2007, pp. 227-233, 2007, IEEE Computer Society, 1-4244-1382-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
10 | Conghua Zhou, Shiguang Ju |
SAT-based Bounded Model Checking for SE-LTL. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SNPD (3) ![In: Proceedings of the 8th ACIS International Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, SNPD 2007, July 30 - August 1, 2007, Qingdao, China, pp. 582-587, 2007, IEEE Computer Society, 0-7695-2909-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
10 | Valentin Gherman, Hans-Joachim Wunderlich, R. D. Mascarenhas, Jürgen Schlöffel, Michael Garbers |
Synthesis of irregular combinational functions with large don't care sets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, Stresa, Lago Maggiore, Italy, March 11-13, 2007, pp. 287-292, 2007, ACM, 978-1-59593-605-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
logic synthesis, incompletely specified functions |
10 | Natasa Miskov-Zivanov, Diana Marculescu |
MARS-S: Modeling and Reduction of Soft Errors in Sequential Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 8th International Symposium on Quality of Electronic Design (ISQED 2007), 26-28 March 2007, San Jose, CA, USA, pp. 893-898, 2007, IEEE Computer Society, 978-0-7695-2795-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
10 | Rongjie Yan, Guangyuan Li, Wenliang Zhang, Yunquan Peng |
Improvements for the Symbolic Verification of Timed Automata. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FORTE ![In: Formal Techniques for Networked and Distributed Systems - FORTE 2007, 27th IFIP WG 6.1 International Conference, Tallinn, Estonia, June 27-29, 2007, Proceedings, pp. 196-210, 2007, Springer, 978-3-540-73195-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
verification, BDD, timed systems, symbolic method |
10 | Martin Lukasiewycz, Michael Glaß, Christian Haubelt, Jürgen Teich |
Symbolic Archive Representation for a Fast Nondominance Test. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMO ![In: Evolutionary Multi-Criterion Optimization, 4th International Conference, EMO 2007, Matsushima, Japan, March 5-8, 2007, Proceedings, pp. 111-125, 2007, Springer, 3-540-70927-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
10 | Daher Kaiss, Marcelo Skaba, Ziyad Hanna, Zurab Khasidashvili |
Industrial Strength SAT-based Alignability Algorithm for Hardware Equivalence Verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FMCAD ![In: Formal Methods in Computer-Aided Design, 7th International Conference, FMCAD 2007, Austin, Texas, USA, November 11-14, 2007, Proceedings, pp. 20-26, 2007, IEEE Computer Society, 0-7695-3023-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
10 | Antti Valmari |
What the small Rubik's cube taught me about data structures, information theory, and randomisation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Softw. Tools Technol. Transf. ![In: Int. J. Softw. Tools Technol. Transf. 8(3), pp. 180-194, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Explicit state spaces |
10 | Kenil C. K. Cheng, Roland H. C. Yap |
Applying Ad-hoc Global Constraints with the case Constraint to Still-Life. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Constraints An Int. J. ![In: Constraints An Int. J. 11(2-3), pp. 91-114, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Still-life problem, Ad-hoc constraint, Non-binary constraint, Modeling, Binary decision diagram |
10 | Peter Hawkins, Peter J. Stuckey |
A Hybrid BDD and SAT Finite Domain Constraint Solver. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PADL ![In: Practical Aspects of Declarative Languages, 8th International Symposium, PADL 2006, Charleston, SC, USA, January 9-10, 2006, Proceedings, pp. 103-117, 2006, Springer, 3-540-30947-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
10 | Zijiang Yang 0006, Chao Wang 0001, Aarti Gupta, Franjo Ivancic |
Mixed symbolic representations for model checking software programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MEMOCODE ![In: 4th ACM & IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE 2006), 27-29 July 2006, Embassy Suites, Napa, California, USA, pp. 17-26, 2006, IEEE Computer Society, 1-4244-0421-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
10 | Erik Roland van der Meer, Andrzej Wasowski, Henrik Reif Andersen |
Efficient interactive configuration of unbounded modular systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2006 ACM Symposium on Applied Computing (SAC), Dijon, France, April 23-27, 2006, pp. 409-414, 2006, ACM, 1-59593-108-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
interactive configuration, constraint satisfaction |
10 | Rüdiger Ebendt, Rolf Drechsler |
A Framework for Quasi-exact Optimization Using Relaxed Best-First Search. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KI ![In: KI 2006: Advances in Artificial Intelligence, 29th Annual German Conference on AI, KI 2006, Bremen, Germany, June 14-17, 2006, Proceedings, pp. 331-345, 2006, Springer, 978-3-540-69911-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
10 | Charles Pecheur, Franco Raimondi |
Symbolic Model Checking of Logics with Actions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MoChArt ![In: Model Checking and Artificial Intelligence, 4th Workshop, MoChArt IV, Riva del Garda, Italy, August 29, 2006, Revised Selected and Invited Papers, pp. 113-128, 2006, Springer, 978-3-540-74127-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
10 | Ralf Wimmer 0001, Marc Herbstritt, Holger Hermanns, Kelley Strampp, Bernd Becker 0001 |
Sigref- A Symbolic Bisimulation Tool Box. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ATVA ![In: Automated Technology for Verification and Analysis, 4th International Symposium, ATVA 2006, Beijing, China, October 23-26, 2006., pp. 477-492, 2006, Springer, 3-540-47237-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
10 | Alastair F. Donaldson, Alice Miller 0001 |
Symmetry Reduction for Probabilistic Model Checking Using Generic Representatives. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ATVA ![In: Automated Technology for Verification and Analysis, 4th International Symposium, ATVA 2006, Beijing, China, October 23-26, 2006., pp. 9-23, 2006, Springer, 3-540-47237-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
10 | Gary Hardy, Corinne Lucet, Nikolaos Limnios |
A BDD-Based Heuristic Algorithm for Design of Reliable Networks with Minimal Cost. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MSN ![In: Mobile Ad-hoc and Sensor Networks, Second International Conference, MSN 2006, Hong Kong, China, December 13-15, 2006, Proceedings, pp. 244-255, 2006, Springer, 3-540-49932-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
10 | Kai Lampka, Markus Siegle, Max Walter |
An Easy-to-Use, Efficient Tool-Chain to Analyze the Availability of Telecommunication Equipment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FMICS/PDMC ![In: Formal Methods: Applications and Technology, 11th International Workshop, FMICS 2006 and 5th International Workshop PDMC 2006, Bonn, Germany, August 26-27, and August 31, 2006, Revised Selected Papers, pp. 35-50, 2006, Springer, 978-3-540-70951-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Reliability and Availability Analysis, State Space Explosion Binary Decision Diagram, Reliability Block Diagrams, Markov Reward Model |
10 | Sherif Yusuf, Wayne Luk, M. K. N. Szeto, William George Osborne |
UNITE: Uniform Hardware-Based Network Intrusion deTection Engine. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARC ![In: Reconfigurable Computing: Architectures and Applications, Second International Workshop, ARC 2006, Delft, The Netherlands, March 1-3, 2006, Revised Selected Papers, pp. 389-400, 2006, Springer. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
10 | David Ward, Fabio Somenzi |
Decomposing image computation for symbolic reachability analysis using control flow information. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006, pp. 779-785, 2006, ACM, 1-59593-389-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
10 | Gianpiero Cabodi, Marco Murciano, Sergio Nocco, Stefano Quer |
Stepping forward with interpolants in unbounded model checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006, pp. 772-778, 2006, ACM, 1-59593-389-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
10 | Javier Esparza, Stefan Kiefer, Stefan Schwoon |
Abstraction Refinement with Craig Interpolation and Symbolic Pushdown Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TACAS ![In: Tools and Algorithms for the Construction and Analysis of Systems, 12th International Conference, TACAS 2006 Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2006, Vienna, Austria, March 25 - April 2, 2006, Proceedings, pp. 489-503, 2006, Springer, 3-540-33056-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
10 | Stelios Neophytou, Maria K. Michael, Spyros Tragoudas |
Efficient Deterministic Test Generation for BIST Schemes with LFSR Reseeding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTS ![In: 12th IEEE International On-Line Testing Symposium (IOLTS 2006), 10-12 July 2006, Como, Italy, pp. 43-50, 2006, IEEE Computer Society, 0-7695-2620-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
10 | Randal E. Bryant |
Formal Verification of Infinite State Systems Using Boolean Methods. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTA ![In: Term Rewriting and Applications, 17th International Conference, RTA 2006, Seattle, WA, USA, August 12-14, 2006, Proceedings, pp. 1-3, 2006, Springer, 3-540-36834-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
10 | Lihua Yuan, Jianning Mai, Zhendong Su 0001, Hao Chen 0003, Chen-Nee Chuah, Prasant Mohapatra |
FIREMAN: A Toolkit for FIREwall Modeling and ANalysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
S&P ![In: 2006 IEEE Symposium on Security and Privacy (S&P 2006), 21-24 May 2006, Berkeley, California, USA, pp. 199-213, 2006, IEEE Computer Society, 0-7695-2574-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
10 | Jianbin Tan, George S. Avrunin, Lori A. Clarke |
Managing space for finite-state verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSE ![In: 28th International Conference on Software Engineering (ICSE 2006), Shanghai, China, May 20-28, 2006, pp. 152-161, 2006, ACM, 1-59593-375-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
FLAVERS, ZDD, BDD, finite-state verification, LTSA |
10 | P. W. Chandana Prasad, Bruce Mills, Ali Assi 0001, S. M. N. Arosha Senanayake, V. C. Prasad |
Evaluation time Estimation for Pass Transistor Logic circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DELTA ![In: Third IEEE International Workshop on Electronic Design, Test and Applications (DELTA 2006), 17-19 January 2006, Kuala Lumpur, Malaysia, pp. 422-428, 2006, IEEE Computer Society, 0-7695-2500-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
10 | Gopal Paul, Sambhu Nath Pradhan, Ajit Pal, Bhargab B. Bhattacharya |
Low Power BDD-based Synthesis Using Dual Rail Static DCVSPG Logic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APCCAS ![In: IEEE Asia Pacific Conference on Circuits and Systems 2006, APCCAS 2006, Singapore, 4-7 December 2006, pp. 1504-1507, 2006, IEEE, 1-4244-0387-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
10 | Jin S. Zhang, Alan Mishchenko, Robert K. Brayton, Malgorzata Chrzanowska-Jeske |
Symmetry detection for large Boolean functions using circuit representation, simulation, and satisfiability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 510-515, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
and-inverter graphs, classical symmetries, simulation, boolean functions, boolean satisfiability |
10 | Natasa Miskov-Zivanov, Diana Marculescu |
MARS-C: modeling and reduction of soft errors in combinational circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 767-772, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
reliability symbolic techniques, SER |
10 | Maurice Pagnucco |
Knowledge Compilation for Belief Change. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Australian Conference on Artificial Intelligence ![In: AI 2006: Advances in Artificial Intelligence, 19th Australian Joint Conference on Artificial Intelligence, Hobart, Australia, December 4-8, 2006, Proceedings, pp. 90-99, 2006, Springer, 3-540-49787-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
belief revision and update, common-sense reasoning, knowledge representation and reasoning |
10 | Shinobu Nagayama, Tsutomu Sasao |
On the optimization of heterogeneous MDDs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(11), pp. 1645-1659, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
10 | Rajeev Alur, P. Madhusudan, Wonhong Nam |
Symbolic computational techniques for solving games. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Softw. Tools Technol. Transf. ![In: Int. J. Softw. Tools Technol. Transf. 7(2), pp. 118-128, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
QBF solving, Games, Formal verification, Symbolic model checking, Bounded model checking |
10 | Daijue Tang, Sharad Malik, Aarti Gupta, C. Norris Ip |
Symmetry Reduction in SAT-Based Model Checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAV ![In: Computer Aided Verification, 17th International Conference, CAV 2005, Edinburgh, Scotland, UK, July 6-10, 2005, Proceedings, pp. 125-138, 2005, Springer, 3-540-27231-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
10 | François Macé, François-Xavier Standaert, Jean-Jacques Quisquater, Jean-Didier Legat |
A Design Methodology for Secured ICs Using Dynamic Current Mode Logic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PATMOS ![In: Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation, 15th International Workshop, PATMOS 2005, Leuven, Belgium, September 21-23, 2005, Proceedings, pp. 550-560, 2005, Springer, 3-540-29013-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Differential Pull Down Networks, Side-channel attack, Differential Power Analysis, Binary Decision Diagrams |
10 | Rüdiger Ebendt, Rolf Drechsler |
Quasi-Exact BDD Minimization Using Relaxed Best-First Search. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2005 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2005), New Frontiers in VLSI Design, 11-12 May 2005, Tampa, FL, USA, pp. 59-64, 2005, IEEE Computer Society, 0-7695-2365-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
10 | Reiner Hähnle, Neil V. Murray, Erik Rosenthal |
Normal Forms for Knowledge Compilation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMIS ![In: Foundations of Intelligent Systems, 15th International Symposium, ISMIS 2005, Saratoga Springs, NY, USA, May 25-28, 2005, Proceedings, pp. 304-313, 2005, Springer, 3-540-25878-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
10 | Junhao Shi, Görschwin Fey, Rolf Drechsler |
Bridging fault testability of BDD circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2005 Conference on Asia South Pacific Design Automation, ASP-DAC 2005, Shanghai, China, January 18-21, 2005, pp. 188-191, 2005, ACM Press, 0-7803-8737-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
10 | Andrea Bobbio, Daniele Codetta Raiteri, Massimiliano De Pierro, Giuliana Franceschinis |
Efficient Analysis Algorithms for Parametric Fault Trees. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FIRB-Perf ![In: FIRB-Perf Workshop on Techniques, Methodologies and Tools for Performance Evaluation of Complex Systems (FIRB-Perf 2005), 19 September 2005, Torino, Italy, pp. 91-105, 2005, IEEE Computer Society, 0-7695-2447-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
10 | Nina Amla, Xiaoqun Du, Andreas Kuehlmann, Robert P. Kurshan, Kenneth L. McMillan |
An Analysis of SAT-Based Model Checking Techniques in an Industrial Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHARME ![In: Correct Hardware Design and Verification Methods, 13th IFIP WG 10.5 Advanced Research Working Conference, CHARME 2005, Saarbrücken, Germany, October 3-6, 2005, Proceedings, pp. 254-268, 2005, Springer, 3-540-29105-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
10 | Wolfgang Lenders, Christel Baier |
Genetic Algorithms for the Variable Ordering Problem of Binary Decision Diagrams. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FOGA ![In: Foundations of Genetic Algorithms, 8th International Workshop, FOGA 2005, Aizu-Wakamatsu City, Japan, January 5-9, 2005, Revised Selected Papers, pp. 1-20, 2005, Springer, 3-540-27237-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
10 | Kenil C. K. Cheng, Roland H. C. Yap |
Ad-hoc Global Constraints for Life. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CP ![In: Principles and Practice of Constraint Programming - CP 2005, 11th International Conference, CP 2005, Sitges, Spain, October 1-5, 2005, Proceedings, pp. 182-195, 2005, Springer, 3-540-29238-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
10 | Jianwen Zhu |
Towards scalable flow and context sensitive pointer analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005, pp. 831-836, 2005, ACM, 1-59593-058-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
high-level synthesis, binary decision diagrams, pointer analysis |
10 | Ofer Strichman |
Accelerating Bounded Model Checking of Safety Properties. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Formal Methods Syst. Des. ![In: Formal Methods Syst. Des. 24(1), pp. 5-24, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
SAT, Bounded Model Checking |
10 | Geun Rae Cho, Tom Chen 0001 |
Synthesis of single/dual-rail mixed PTL/static logic for low-power applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(2), pp. 229-242, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
10 | Shuo Sheng, Michael S. Hsiao |
Success-Driven Learning in ATPG for Preimage Computation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 21(6), pp. 504-512, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
10 | Amit Goel, Randal E. Bryant |
Symbolic Simulation, Model Checking and Abstraction with Partially Ordered Boolean Functional Vectors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAV ![In: Computer Aided Verification, 16th International Conference, CAV 2004, Boston, MA, USA, July 13-17, 2004, Proceedings, pp. 255-267, 2004, Springer, 3-540-22342-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
10 | Marta Z. Kwiatkowska, David Parker 0001, Yi Zhang, Rashid Mehmood |
Dual-Processor Parallelisation of Symbolic Probabilistic Model Checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MASCOTS ![In: 12th International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS 2004), 4-8 October 2004, Vollendam, The Netherlands, pp. 123-130, 2004, IEEE Computer Society, 0-7695-2251-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
10 | Lun Li, Mitchell A. Thornton, Stephen A. Szygenda |
A Genetic Approach for Conjunction Scheduling in Symbolic Equivalence Checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2004 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2004), Emerging Trends in VLSI Systems Design, 19-20 February 2004, Lafayette, LA, USA, pp. 32-38, 2004, IEEE Computer Society, 0-7695-2097-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
10 | Görschwin Fey, Junhao Shi, Rolf Drechsler |
BDD Circuit Optimization for Path Delay Fault Testability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: 2004 Euromicro Symposium on Digital Systems Design (DSD 2004), Architectures, Methods and Tools, 31 August - 3 September 2004, Rennes, France, pp. 168-172, 2004, IEEE Computer Society, 0-7695-2203-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
10 | Christophe Mues, Jan Vanthienen |
Improving the Scalability of Rule Base Verification Using Binary Decision Diagrams: An Empirical Study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KI ![In: KI 2004: Advances in Artificial Intelligence, 27th Annual German Conference on AI, KI 2004, Ulm, Germany, September 20-24, 2004, Proceedings, pp. 381-395, 2004, Springer, 3-540-23166-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
10 | Christophe Mues, Jan Vanthienen |
Efficient Rule Base Verification Using Binary Decision Diagrams. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DEXA ![In: Database and Expert Systems Applications, 15th International Conference, DEXA 2004 Zaragoza, Spain, August 30-September 3, 2004, Proceedings, pp. 445-454, 2004, Springer, 3-540-22936-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
10 | Shinobu Nagayama, Tsutomu Sasao |
Minimization of memory size for heterogeneous MDDs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004, pp. 871-874, 2004, IEEE Computer Society, 0-7803-8175-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
10 | Guanghui Li 0001, Xiaowei Li 0001 |
Circuit-Width Based Heuristic for Boolean Reasoning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 13th Asian Test Symposium (ATS 2004), 15-17 November 2004, Kenting, Taiwan, pp. 336-341, 2004, IEEE Computer Society, 0-7695-2235-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
10 | Fang Wang, Sofiène Tahar, Otmane Aït Mohamed |
First-Order LTL Model Checking Using MDGs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ATVA ![In: Automated Technology for Verification and Analysis: Second International Conference, ATVA 2004, Taipei, Taiwan, ROC, October 31-November 3, 2004. Proceedings, pp. 441-455, 2004, Springer, 3-540-23610-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
10 | Fang Yu 0001, Bow-Yaw Wang |
Toward Unbounded Model Checking for Region Automata. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ATVA ![In: Automated Technology for Verification and Analysis: Second International Conference, ATVA 2004, Taipei, Taiwan, ROC, October 31-November 3, 2004. Proceedings, pp. 20-33, 2004, Springer, 3-540-23610-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Region automata, Real-time systems, Model checking, Verification, Induction, BMC |
10 | María Alpuente, Moreno Falaschi, Alicia Villanueva |
A Symbolic Model Checker for tccp Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RISE ![In: Rapid Integration of Software Engineering Techniques, First International Workshop, RISE 2004, Luxembourg-Kirchberg, Luxembourg, November 26, 2004, Revised Selected Papers, pp. 45-56, 2004, Springer, 3-540-25812-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Timed Concurrent Constraint Programs, DDDs, Model Checking, Lightweight formal methods |
10 | Marc Solé, Enric Pastor |
Evaluating Symbolic Traversal Algorithms Applied to Asynchronous Concurrent Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACSD ![In: 4th International Conference on Application of Concurrency to System Design (ACSD 2004), 16-18 June 2004, Hamilton, Canada, pp. 207-216, 2004, IEEE Computer Society, 0-7695-2077-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|